Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Quartus internal error (an old Quartus version)

Altera_Forum
Honored Contributor II
2,063 Views

Dear all, 

 

I have been using an old Quartus version like 8.0 for some reason.  

 

When I modify and compile a design, I am seeing the following error very often when Quartus is generating assembler (generating .sof file). 

The modification was simple like renaming bus using wire component (I am using schematic environment.) 

 

Does anyone have any experience or reason for this? 

--------------------------------- 

Internal Error: Sub-system: CUT, File: /quartus/db/cut/cut_lcell_util.cpp, Line: 6687 

Error in merging. Locked bit differs 

Stack Trace: 

0x2AE31A : CUT_LCELL_UTIL::debug_output + 0x174A (db_cut) 

 

 

End-trace 

 

Quartus II Version 8.0 Build 215 05/29/2008 SJ Full Version 

-------------------------------- 

I am attaching the screen capture as well. 

 

Thanks.
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
894 Views

try deleting the db and incremental_db directories from the synthesis directory and see if it completes that way.. 

 

It sounds like it's an issue merging the database,,
0 Kudos
Altera_Forum
Honored Contributor II
894 Views

Hi,  

 

I get this problem too. How to solve it?..Where to find the db and incremental_db..need helps
0 Kudos
Altera_Forum
Honored Contributor II
894 Views

in the project directory. simply delete them to try the suggested work around

0 Kudos
Altera_Forum
Honored Contributor II
894 Views

Did you mean delete them from the project folder? thanks for reply

0 Kudos
Altera_Forum
Honored Contributor II
894 Views

 

--- Quote Start ---  

try deleting the db and incremental_db directories from the synthesis directory and see if it completes that way.. 

 

It sounds like it's an issue merging the database,, 

--- Quote End ---  

 

 

Still having the same problem. Do you have any other suggestion, please? 

 

Thanks!
0 Kudos
Altera_Forum
Honored Contributor II
894 Views

I have the same problem with Quartus 11.0SP1. I deleted the complete project folder, but still get the same problem. 

Any solution?
0 Kudos
Altera_Forum
Honored Contributor II
894 Views

Unfortunately, no perfect solution yet. 

I just recovered the design from a backup file and carefully modified from there.
0 Kudos
Reply