Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Generics on the command line

Altera_Forum
Honored Contributor II
1,473 Views

Does anyone know of a way to pass a generic value in to my top-level VHDL design from a command-line build flow? For instance, if my top level file has 

 

Generic ( STAMP : integer ); 

 

I'd like some way to pass STAMP = $(date +%s) to quartus_map. 

 

Right now I'm calling quartus_sh --tcl_eval to make some set_parameter calls before calling quartus_map, but that seems really really kludgey.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
556 Views

There is a "quartus_map --set" option to change QSF assignments, but I don't know if it works for Parameters. I would suggest writing a proper script instead of calling --tcl_eval: 

quartus_sh -t set_date.tcl 

where set_date.tcl will use Tcl to get the date and set it on the QSF.
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

alternatively you can have the TCL modify the source code to give the value a different default value, or move it into a package and do the same thing.

0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Try 

set_parameter -name PARAM_NAME VALUE
0 Kudos
Reply