Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

vector eaveform file quartus

Altera_Forum
Honored Contributor II
1,243 Views

Hi all, I've a big problem with quartus to view vector waveform file specialy in quartus version 9, 10, 11.  

I create a simple shematic file in quartuse v8, and want to see the wave, but unfortunately, when i play start simulation, i dont have any error but i cant see the result. of course i see warning about in license, 

by the way, i install the v10,11 of quartuse, how can create waveform in them?!
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
274 Views

There is no simulator in Quartus 9.1+ you have to use modelsim instead.

0 Kudos
Altera_Forum
Honored Contributor II
274 Views

Thanks alot, I install quartus 10, i create new shematic and do start simulation. and add modelsim6.1 in tools menu- options and add it again in assignments menu- settings. after that click processing menu- start analyses and elaboration and the end, tools meenu- eda simulation tools- eda rtl simulation, but unfortunetly i see this error,  

...check nativelog file ... 

this file is containts  

Info: Start Nativelink Simulation process 

Error: NativeLink did not detect any HDL files in the project 

Error: NativeLink simulation flow was NOT successful 

 

================The following additional information is provided to help identify the cause of error while running nativelink scripts================= 

Nativelink TCL script failed with errorCode: NONE 

Nativelink TCL script failed with errorInfo: NativeLink did not detect any HDL files in the project 

invoked from within 

"if ![qmap_successfully_completed] { 

nl_postmsg error "Error: Run Analysis and Elaboration successfully before starting RTL NativeLink Simulation"..." 

(procedure "run_eda_simulation_tool" line 170) 

invoked from within 

"run_eda_simulation_tool eda_opts_hash" 

so thanks....
0 Kudos
Reply