Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Qsys and SystemVerilog

Altera_Forum
Honored Contributor II
1,042 Views

Quick question: 

 

Does Qsys not yet support SV multidimensional input/output ports for internal modules? I'm trying to construct a new Qsys component that makes use of SV style ports, but receive an error about unsupported features.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
279 Views

I am almost 100% that it doesn't support such feature. Same as VHDL records.

0 Kudos
Reply