Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

How to enable PULLUP in Stratix IV

Altera_Forum
Honored Contributor II
999 Views

Can someone please tell me how to enable a weak pullup resistor on a input pin? 

 

I would like to add one to this assignment 

 

set_location_assignment PIN_A11 -to PCIE_RSTN 

set_instance_assignment -name IO_STANDARD "2.5 V" -to PCIE_RSTN 

 

Thanks 

 

Len
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
262 Views

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PCIE_RSTN

0 Kudos
Reply