Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

$readmemh & parameter workaround?

Altera_Forum
Honored Contributor II
1,293 Views

Hi, 

 

I am trying to use a parameter with $readmemh, for example: 

 

parameter rom_file = "data.txt" ... $readmemh(rom_file, rom);  

 

Which gives the error: 

 

Error (10853): Verilog HDL error at rom_single.v(31): argument 0 to $readmemh must be a string literal 

 

I would like to be instantiate the same ROM module multiple times with different files, so are there any suitable work arounds or solutions to the issue? 

 

I have seen this issue raised before, but no suitable workaround has been suggested 

 

Thanks, Matt
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
488 Views

Never mind, its been fixed in V11

0 Kudos
Reply