Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Nios 2 simulation fail.

Altera_Forum
Honored Contributor II
1,083 Views

Hello. I'm trying to simulate Nios-based system (Nios+Jtag2Uart) as it described in an351. There are no errors as i build my project, but after ModelSim starts and executes "com" command this message appears: 

# ** Error: (vcom-7) Failed to open library file "C:\Users\Cosworth\Documents\Workspace\Quartus\software\hello_world\obj\default\runtime\sim\mentor\libraries\Jtag_uart_tb_Nios2_instruction_master_translator_avalon_universal_master_0_agent/jtag_uart_nios2_instruction_master_translator_avalon_universal_master_0_agent" in read/write/execute mode. # No such file or directory. (errno = ENOENT) # ** Error: C:/Users/Cosworth/Documents/Workspace/Quartus/Jtag_uart/testbench/Jtag_uart_tb/simulation/submodules/Jtag_uart_Nios2_instruction_master_translator_avalon_universal_master_0_agent.vho(58): VHDL Compiler exiting # C:/altera/11.1sp1/modelsim_ase/win32aloem/vcom failed. 

Whats wrong?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
292 Views

 

--- Quote Start ---  

 

Whats wrong? 

--- Quote End ---  

The error tells you what is wrong, or at least is pointing you in the direction to look. 

 

Modelsim cannot find the file. Note how the file does not end in .v, .vhd, or .sv. Perhaps the script that is attempting to compile the file is missing an extension on the filename? Or perhaps the file does not exist at all, go and look in the path it is looking at. 

 

Cheers, 

Dave
0 Kudos
Reply