Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers

Clock failed

Altera_Forum
Honored Contributor II
1,638 Views

I got these warnings in Quartus. Please help me fix. :) 

 

Warning: The master clock for this clock assignment could not be derived. Clock: pll|sd1|pll7|clk was not created. Warning: Specified master clock: clk not found on or feeding the specified source node: pll|sd1|pll7|inclk Warning: The master clock for this clock assignment could not be derived. Clock: pll|sd1|pll7|clk was not created. Warning: Specified master clock: clk not found on or feeding the specified source node: pll|sd1|pll7|inclk
0 Kudos
12 Replies
Altera_Forum
Honored Contributor II
626 Views

It looks like your input clock to this PLL is not connected.

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

The PLL is connected to a Clock Source.

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

It's a timinig analysis warning. You didn't define the input clock.

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

This is the project made for EP3C25F324C8 from Altera website, and I change the device and pin assignment to EP4CGX15BF14C8. The input clock is already defined in the project. :)

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

If you have change the name of the input clock pin you have to modify the SDC file accordingly.

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

I did not change the name of the input clock pin. The orginal project also failed compiling. :D

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

Ok, in this case you need to modify or create a SDC file. 

 

Here is an example which creates timing constraints for the 25 MHz input clock "clk_pin": 

 

create_clock -name clk -period 25MHz # derive PLL output clocks & add clock uncertainty derive_pll_clocks -create_base_clocks derive_clock_uncertainty
0 Kudos
Altera_Forum
Honored Contributor II
626 Views

I didn't work. I can post the project if you want. :D

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

If you can send me the link of the example you used, I can check this out.

0 Kudos
Altera_Forum
Honored Contributor II
626 Views

I tried to reproduce the warning message you see. But I didn't see these messages when I synthesize this example. 

 

I using Quartus II 11.1 SP2 just to make sure that the software version is not causing this. 

 

I attached my example QAR file to this message. Try this to see if you still see the warning messages.
0 Kudos
Altera_Forum
Honored Contributor II
626 Views

The original project and the example QAR have the same warning: :) 

 

Critical Warning (332148): Timing requirements not met
0 Kudos
Altera_Forum
Honored Contributor II
626 Views

I'm sorry, but I don't see this critical warning when I synthesize this. 

 

I attached the project with the report files (.rpt) when you look in the Static Timing Analyzer report (.sta.rpt) you will see that there is no such warning. 

 

Which version of Quartus are you using? The example you gave me was created for version 11.0. I use 11.1 with service pack 2.
0 Kudos
Reply