Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Creating BDF file in Quartus prime

Altera_Forum
Honored Contributor II
5,221 Views

I have .qsys files of a project for which I want to create BDF files in Quartus prime. I hope it's possible to create BDF file automatically without going for manual connections between blocks (created using BSF files). Please do reply if anyone knows about it.

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
3,182 Views

Over the years I don't recall such functionality being present. Quartus can create the symbol files for you but it will not generate an entire schematic complete with connectivity from RTL source files as far as I know.

0 Kudos
Altera_Forum
Honored Contributor II
3,182 Views

 

--- Quote Start ---  

I have .qsys files of a project for which I want to create BDF files in Quartus prime. I hope it's possible to create BDF file automatically without going for manual connections between blocks (created using BSF files). Please do reply if anyone knows about it. 

--- Quote End ---  

 

 

Can you explain what are you trying to achieve? Why do you need bdf files?
0 Kudos
Altera_Forum
Honored Contributor II
3,182 Views

Just hoping bdf files can give more clear and detailed picture of the project to viewer than RTL schematic if I can generate BDF files automatically.

0 Kudos
Altera_Forum
Honored Contributor II
3,182 Views

I am afraid that Quartus can not do that automatically you have to create it manually. From personal experience I can say that working with .bdf schematic files at first looks quite attractive. But as soon as your project grows bigger and more complex it becomes nightmare to make and track changes.

0 Kudos
Altera_Forum
Honored Contributor II
3,182 Views

In general usually when software generates source files they are not very readable. So generating a bdf from Verilog/VHLD sources would probably be very difficult to understand. If you have ever looked at the RTL Quartus generates from a .bdf source file you'll see what I mean :) 

 

Like vlrean said, schematics do not scale to large projects well. Also keep in mind that you'll probably eventually want to simulate your logic so you are better off with industry standard RTL like Verilog, System Verilog, or VHLD that most/all simulators can interpret.
0 Kudos
Reply