Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

serial to parallel converter

Altera_Forum
Honored Contributor II
952 Views

Hi 

please help me in designing programmable serial to parallel converter of 4 to 12 bits using vhdl
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
240 Views

 

--- Quote Start ---  

 

please help me in designing programmable serial to parallel converter of 4 to 12 bits using vhdl 

--- Quote End ---  

 

 

This is not the right way to ask this question. 

 

The right way, is for you to post your code, explain why you wrote it the way you did, and ask questions about what you have done wrong. 

 

We are not a homework service. At least show us you are trying, and you will get help. 

 

Cheers. 

Dave
0 Kudos
Reply