Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Mapping pins of DE2-115 and THDB_ADA_HSMC for analog to digital purpose

Altera_Forum
Honored Contributor II
922 Views

Hi, 

I looked on the HSMC pin configuration of DE2-115 board given in its user manual and also on the HSMC pin configuration of THDB_ADA_HSMC daughter card(Analog to digital and digital to analog converter) in its user manual. 

The no. of pins on DE2-115 is 82 whereas in ADA daughter card it is 74. 

 

The another thing is that on the corresponding hardware the number of pins is 160 (DE2-115) and 180 (Daughter card). 

 

So, gets confused in interfacing like mapping the pins and getting digital data into FPGA. 

 

From my little knowledge, I think I have to map 17 data pins given in user manual of "DE-115 board" as HSMC_RX_D_N[0] to HSMC_RX_D_N[16] with some control pins. but another problem is daughter card have only 14 pins (bits) for every channel. 

 

can anybody clear me?
0 Kudos
0 Replies
Reply