Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

create_generated_clock latency

Altera_Forum
Honored Contributor II
1,104 Views

Hello Altera, 

 

The below link 

 

http://www.altera.com/support/software/timequest/clock/tq-generate-clock.html]  

 

 

--- Quote Start ---  

Source latencies are based on clock network delays from the master clock (not necessarily the master pin). You can use the set_clock_latency -source command to override the source latency. 

--- Quote End ---  

 

 

Can someone help me to understand how latency is calculated exactly in Quartus for create_generated_clock. 

 

Regards, 

frk
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
381 Views

Hello All, 

 

Can you please share your inputs here !! 

 

Regards, 

frk
0 Kudos
Reply