Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16559 Discussions

Delay stimulus to be stored in scoreboard

Altera_Forum
Honored Contributor II
879 Views

Hi. I am learning systemverilog. I have a simple design accumulator(DUT). Stimulus is randomized every cycle in a task and accumulated result is monitored. Result is:# * ERROR * DUT acc is 0 :: SB acc is 2# * ERROR * DUT acc is 0 :: SB acc is 2# * ERROR * DUT acc is 2 :: SB acc is 3# * ERROR * DUT acc is 2 :: SB acc is 5# * ERROR * DUT acc is 3 :: SB acc is 11  

SB(scoreboard) is always 2 cycler earlier. I have try a lot of ways to delay the scoreboard but all in vain. How to delay data generated by randomize for 2 cycle? 

 

source code is attached. i really appreciate that you take ur time to look at the code and reply. 

 

Thanks a lot
0 Kudos
0 Replies
Reply