Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

10.0c Modelsim Altera feature

Altera_Forum
Honored Contributor II
972 Views

Can we run uvm code using modelsim altera 10.0c . If yes then How ?

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
294 Views

You can run a very limited amount of UVM code with Modelsim. You will not be able to do any randomization or functional coverage without upgrading to Questa.

0 Kudos
Reply