Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Sine wave simulation

Altera_Forum
Honored Contributor II
1,218 Views

I have a code for generating sine wave in VHDL. But i dont know how to simulate it. Any DAC simulator available ....????pls help me...its urgent

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
498 Views

In what form is the output? std_logic_vector? 

 

In modelsim you can change the representation of the signal into "analog". The simulator then draws the sine wave if the design is correct.
0 Kudos
Altera_Forum
Honored Contributor II
498 Views

Is there any option in Qsim.....????

0 Kudos
Reply