Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Quartus II 12.0 - Internal Error: FIOMGR

Altera_Forum
Honored Contributor II
1,070 Views

I'm trying to compile a small RAM module, I received the following internal error pop-up:  

 

internal error: sub-system: fiomgr, file: /quartus/fitter/fiomgr/fiomgr_io_power_region.cpp, line: 3221 

part_info_loaded 

stack trace: 

0x15313: fiomgr_io_power_region::reduce_supported_voltage_with_voltage_db + 0x123 (fitter_fiomgr) 

0x7255e: fiomgr_io_manager_impl::set_up_device + 0x153e (fitter_fiomgr) 

0x6ef4d: fiomgr_io_manager_impl::init + 0x21d (fitter_fiomgr) 

0x2fbe1: fiomgr_io_manager::create_manager + 0x721 (fitter_fiomgr) 

0xd2ad6: fsac_io_manager::create_manager + 0xc6 (fitter_fsac) 

0x8e0ba: fsv_execute + 0x295a (fitter_fsv) 

0x9283e: fsv_execute + 0x70de (fitter_fsv) 

 

end-trace 

 

quartus ii 32-bit version 12.0 build 263 08/02/2012 sj full version 

service pack installed: 2 

 

I've searched around, and the only suggestion I found was to delete the db and incremental_db folders and re-compile. I tried that and it didn't work. Does anybody have any ideas?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
260 Views

 

--- Quote Start ---  

I'm trying to compile a small RAM module, I received the following internal error pop-up:  

 

internal error: sub-system: fiomgr, file: /quartus/fitter/fiomgr/fiomgr_io_power_region.cpp, line: 3221 

part_info_loaded 

stack trace: 

 

I've searched around, and the only suggestion I found was to delete the db and incremental_db folders and re-compile. I tried that and it didn't work. Does anybody have any ideas? 

--- Quote End ---  

 

 

Are you sure you have all the correct devices installed? You can choose which ones to install and while I'd hope that you'd get a better error message if you missed one, it's possible that this slipped through. 

 

Cheers, Adrian
0 Kudos
Reply