Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Quartus II + Modelsim from mentor graphics

Altera_Forum
Honored Contributor II
1,704 Views

Hello, 

 

I am trying to use quartus II and modelsim from mentor graphics. Is this possible? I have put the route in EDA tools under modelsim choice (tools ---> options --->EDA tool options). But I always got  

 

# ** Error: (vsim-3170) Could not find 'work.lab2_vlg_vec_tst'. 

#  

# Error loading design" 

 

Does anybody know where the problem is? It looks like it always goes to altra-modelsim even if I have already made the choice. 

 

Thanks in advance. 

 

Attached error message: 

 

******************************************************************* 

Running quartus modelsim 

>> vsim -c -do lab2.do 

PID = 6100 

Reading C:/altera/13.0/modelsim_ase/tcl/vsim/pref.tcl  

 

# 10.1d 

 

# do lab2.do  

# ** Warning: (vlib-34) Library already exists at "work". 

#  

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012 

# -- Compiling module Lab2 

#  

# Top level modules: 

# Lab2 

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012 

# -- Compiling module Lab2_vlg_sample_tst 

# -- Compiling module Lab2_vlg_check_tst 

# -- Compiling module Lab2_vlg_vec_tst 

#  

# Top level modules: 

# Lab2_vlg_vec_tst 

# vsim -L cycloneii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate -c -t 1ps -novopt work.lab2_vlg_vec_tst  

# ** Error: (vsim-3170) Could not find 'work.lab2_vlg_vec_tst'. 

#  

# Error loading design
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
483 Views

lab2_vlg_vec_tst should be your testbench name. 

do you define you testbench in the QII EDA setting?
0 Kudos
Altera_Forum
Honored Contributor II
483 Views

My simulatin on alter-modelsim has run successfully --- I simply run it from .vwf file directly (there is a choice to run RTL simulation there). And I didn't define any testbench except a .vwf file.  

 

Then, I changed the setting in EDA tool by using Modelsim from graphics mental. At the same time, when I run the simulation from .vwf file interface again, I choice Modelsim from mental graphics as my tool to run. However, the simulation still goes to the altera-modelsim. This problem also showed in the script attached.  

 

Does anyone ever use the student version of modelsim for such a simulation? Can this version of Modelsim be used in such a scenario, combing with Quartus II for the simulation? 

 

I am so confused here.
0 Kudos
Altera_Forum
Honored Contributor II
483 Views

I've used standard versions of ModelSim for Altera designs. 

But, weather I'm using ModelSim-AE or plain ModelSim, I prefer to launch ModelSim on it's own and launch the simulation from there, I don't use NativeLink.
0 Kudos
Reply