Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

ALTPLL dynamic phase doesn't work at low output frequencies?

Altera_Forum
Honored Contributor II
1,133 Views

I'm trying to use the dynamic phase stepping feature of the ALTPLL megafunction on a Cyclone III. Everything seems to work when the output frequency is at 1MHz or above, but if I lower the output frequency to 100kHz or less, no phase shift happens. I realize it takes many phasestep pulses to get a visible phase shift at these frequencies and I am generating the required number of them. I have even attached a counter to count rising edges on the phasedone line, and the ALTPLL component is generating the correct number of pulses. 

 

This is how I am testing this: 

My input clock frequency is 50MHz. I am using c0 and c1, set at the same frequency, with an initial phase shift of 0 degrees on c0 and 90 degrees on c1. My scanclk input is the same 50MHz input signal. I am trying to step the phase of c1 using the phaseupdown, phasecounterselect, and phasestep inputs. When I set the output frequencies of c0 and c1 to something in the MHz range (for example, 1MHz), everything works properly (verified with a scope). When I lower the output frequency to 100kHz, the phasedone line does pulse low the correct number of times, but the phase of the c1 output does not change at all. The only change I made between the two tests was to modify the output frequencies in the ALTPLL megafunction. 

 

I am controlling this via an Avalon interface that I wrote. (I will need to be able to do that eventually anyways. Also, I was unable to get the Qsys "Avalon ALTPLL" component to work. When I try to instantiate it, I get an error about a bus width mismatch on the phasecounterselect signal. In any case, that does not allow for changing the frequency, and I can't use the "Altera PLL reconfig" component on a Cyclone III.) 

 

I should note that the phasedone output of the ALTPLL seems to pulse low too quickly for me to observe using SignalTap. To check whether it was in fact going low, I attached it to the active-low asynchronous clear input of a flipflop and attached my phasestep signal to the synchronous set input. The output of the flipflop toggles slowly enough for me to see with SignalTap, and that is the signal I am using to count pulses. 

 

Has anyone else seen this? Are you able to use dynamic frequency stepping on a cyclone iii at an output frequency below 100kHz (with an input of about 50MHz)?
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
323 Views

Hi,asmith@inMotive, 

 

I have came to a same problem with you. Do you find a way to solve this problem (the dynamic phase shifting does not work if the output clock frequency goes low)? Can you share with me about your solution problem?  

 

Thank you very much for your time and assistance! 

 

Best regards
0 Kudos
Altera_Forum
Honored Contributor II
323 Views

Hi ! I am also trying to do phase shifting on Cyclone IV but I can't understand phasecounterselect ? Does it matter which counter I use ?

0 Kudos
Altera_Forum
Honored Contributor II
323 Views

I can confirm the observation of phasedone's incorrect behavior: http://www.alteraforum.com/forum/showthread.php?t=53961 (http://www.alteraforum.com/forum/showthread.php?t=53961). Still running into occasional flakiness after making the changes I mentioned in that post.

0 Kudos
Reply