Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20645 Discussions

Problem when download FPGA SRAM OBJECTIVE FILE.

Altera_Forum
Honored Contributor II
1,197 Views

https://www.alteraforum.com/forum/attachment.php?attachmentid=8003  

when use Quartus II software and select Tools > Programmer to download .sof instance file . 

coming: 

Info (209060): Started Programmer operation at Wed Nov 06 17:58:42 2013 

Error (209031): Device chain in Chain Description File does not match physical device chain -- expected 1 device(s) but found 2 device(s). 

Error (209012): Operation failed 

Info (209061): Ended Programmer operation at Wed Nov 06 17:58:42 2013 

 

the device is auto detected .  

where is the problem?
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
377 Views

 

--- Quote Start ---  

https://www.alteraforum.com/forum/attachment.php?attachmentid=8003  

when use Quartus II software and select Tools > Programmer to download .sof instance file . 

coming: 

Info (209060): Started Programmer operation at Wed Nov 06 17:58:42 2013 

Error (209031): Device chain in Chain Description File does not match physical device chain -- expected 1 device(s) but found 2 device(s). 

Error (209012): Operation failed 

Info (209061): Ended Programmer operation at Wed Nov 06 17:58:42 2013 

 

the device is auto detected .  

where is the problem? 

--- Quote End ---  

 

 

As I see you are using Arrow SocKIT dev. board. 

- Configure FPGA board properly ! (SOCVHPS is missed from your actually detected chain) 

- Reset FPGA (try warm, hard, and FPGA reset) 

- Hardware Setup -> CV_SOCKIT 

- Auto detect chain 

- Change file -> browse for .sof (which contains the same FPGA device as 5CSXFC6D6) 

- Finally, Program FPGA 

 

alternatively, try 

Quartus -> Tools -> JTAG chain debugger -> Test JTAG chain 

 

Altera help: 

http://quartushelp.altera.com/13.1/mergedprojects/msgs/msgs/epgme_chain_length_mismatch.htm 

 

 

Regards, 

 

Zs.V.
0 Kudos
Altera_Forum
Honored Contributor II
377 Views

 

--- Quote Start ---  

As I see you are using Arrow SocKIT dev. board. 

- Configure FPGA board properly ! (SOCVHPS is missed from your actually detected chain) 

- Reset FPGA (try warm, hard, and FPGA reset) 

- Hardware Setup -> CV_SOCKIT 

- Auto detect chain 

- Change file -> browse for .sof (which contains the same FPGA device as 5CSXFC6D6) 

- Finally, Program FPGA 

 

alternatively, try 

Quartus -> Tools -> JTAG chain debugger -> Test JTAG chain 

 

Altera help: 

http://quartushelp.altera.com/13.1/mergedprojects/msgs/msgs/epgme_chain_length_mismatch.htm 

 

 

Regards, 

 

Zs.V. 

--- Quote End ---  

 

 

 

 

thank you so much! 

 

i set jtag clock speed at 16M, the follow: 

- Auto detect chain 

- Change file -> browse for .sof (which contains the same FPGA device as 5CSXFC6D6) 

- Finally, Program FPGA 

 

it works.
0 Kudos
Reply