Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16608 Discussions

Where is the clock (CLK) in Quartus schematic entry?

Altera_Forum
Honored Contributor II
12,001 Views

I'm new to the quartus design software and have been entering small designs (so far) in the integrated *.bdf schematic editor (you know, where you wire simple gates together).  

 

The design I'm working on right now requires a clock signal,which will run at something like 0.5-10 Hz. The design will be downloaded to a DE2-115 board. 

 

So far as I can tell, the "Symbol Tool" library in the block diagram editor doesn't contain a variable speed (or any other) clock. Is the normal solution to build a clock as a verilog module and then import it? 

 

Any suggestions would be appreciated!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
8,307 Views

The clock is like any other pin. You place an IN port and then connect it to the clock input of a flip-flop, register, etc. I don't remember, but DE2 probably have a 50MHz clock, so if you need 10Hz you have slow down with a counter.

0 Kudos
Reply