Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Waveform File not Running Under Simulation

Altera_Forum
Honored Contributor II
12,366 Views

Hi All,  

 

I am completely new to this forum and to the Quartus II software and am seeking a bit of guidance. I have created an encoder that generates partity bits based upon XOR gates. I have 3 input pins and 3 output pins. When I compile the initial encoder, i get no errors reported. I have now created a vector waveform file to check that the encoder is operating correctly by simulating all possible inputs. All input and outpins are present in the waveform file, with input pin 1 being set a clock value every 50us, pin 2 ever 100us, and pin 3 every 200us. I have ensured that the following is checked 'Overwrite Simualtion Input File with Simualtion Results' and I have made the new vector waveform file the input for the encoder under simulator settings. When i try to run the simulation, I get the following error.  

 

Info: ******************************************************************* Info: Running Quartus II Simulator Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version Info: Processing started: Wed Nov 13 10:04:24 2013 Info: Command: quartus_sim --read_settings_files=on --write_settings_files=off CODEC -c ENCODER Error: Run Generate Functional Simulation Netlist (quartus_map ENCODER --generate_functional_sim_netlist) to generate functional simulation netlist for top level entity "ENCODER" before running the Simulator (quartus_sim) Error: Quartus II Simulator was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 153 megabytes Error: Processing ended: Wed Nov 13 10:04:24 2013 Error: Elapsed time: 00:00:00 Error: Total CPU time (on all processors): 00:00:00 

 

As vague as my description is, is anyone able to shed any light on a possible reason why I may be receiving this? I have attached my project file in the .ZIP attached which may help to illustrate the problem. I am expecting the waveform output pins to change to simulate the different parity bits that have been generated. Any help would be greatly appreciated.
0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
5,503 Views

I am able to simulate the same design which you have attached with Quartus v9.0 without any error.I have attached image of waveform.

0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

 

--- Quote Start ---  

I am able to simulate the same design which you have attached with Quartus v9.0 without any error.I have attached image of waveform. 

--- Quote End ---  

 

 

Many thanks for your response. I will double check what version we are running, but i believe it is also 9. Are you running an updated version of 9 perhaps? Just for clarity, how exactly did you get the figure in the document? Did you just simply click on 'Start Simulation' under the processing menu? The figure is what I would also expect to see from the simulation.
0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

I am using Quartus v9.0 Service Pack v 2.116.It can be seen from your snap shot that you are compiling your design in Quartus v9.1. Unfortunately, I don't have Quartus v9.1. 

 

I followed following steps. 

 

(1) Compile the design. 

(2) Generate Functional Simulation Netlist. (Processing -> Generate Functional Simulation Netlist) 

(3) Start Simulation (Processing -> Start Simulation) 

 

I think i found the problem. You missed step 2 as it can be seen in the error snap.
0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

 

--- Quote Start ---  

I am using Quartus v9.0 Service Pack v 2.116.It can be seen from your snap shot that you are compiling your design in Quartus v9.1. Unfortunately, I don't have Quartus v9.1. 

 

I followed following steps. 

 

(1) Compile the design. 

(2) Generate Functional Simulation Netlist. (Processing -> Generate Functional Simulation Netlist) 

(3) Start Simulation (Processing -> Start Simulation) 

 

I think i found the problem. You missed step 2 as it can be seen in the error snap. 

--- Quote End ---  

 

 

You're my new best friend. Works perfectly now when i complete the second step. Many thanks for your help with this!! :D
0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

waveform file not running in quatrus ii ver 13.1

0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

Altera has removed the support for simulator after Quartus v 9.1 so you won't be able to use Quartus Simulator in newer version. As you can see from the message you can use Altera-Modelsim.You can download it from the altera website.

0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

sir i have ver 13.1 and also installed model sim but still the simulation is not running plz let me know which settings i do for making waveform using modelsim simulator. thanks in advance. 

 

 

--- Quote Start ---  

Altera has removed the support for simulator after Quartus v 9.1 so you won't be able to use Quartus Simulator in newer version. As you can see from the message you can use Altera-Modelsim.You can download it from the altera website. 

--- Quote End ---  

0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

Have your provided path of Modelsim as suggested in your image? 

 

You can use modelsim standalone as well.No need for the quartus to run the Modelsim. You can create project in Modelsim and add the source file and test bench file to the project.Compile and simulate. You can find tutorial to simulate the design in modelsim from the Help once you open the Modelsim.
0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

sir i have seen in this video the person is simulating waveform http://www.youtube.com/watch?v=a8jakkhxlqi  

path of model sim which i am using is C:\altera\13.1\modelsim_ase\win32aloem  

plz help me sir why not running ?????? and i am getting this error  

Determining the location of the ModelSim executable... 

 

Using: C:\altera\13.1\modelsim_ase\win32aloem 

 

To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options 

Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. 

 

**** Generating the ModelSim Testbench **** 

 

quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog comp1bit -c comp1bit --vector_source=D:/quatrus 2 projects/comp1bit/comp1bit.vwf --testbench_file=D:/quatrus 2 projects/comp1bit/simulation/modelsim/comp1bit.vwf.vt 

 

Error (23028): Unknown argument "2". Refer to --help for legal arguments. 

 

Usage: 

------ 

 

quartus_eda [-h | --help[=] | -v] 

quartus_eda [] 

quartus_eda -t  

Error.
0 Kudos
Altera_Forum
Honored Contributor II
5,503 Views

appears to me the same error. Could you give us a hand. thank you very much

0 Kudos
Reply