Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers

No sof file

Altera_Forum
Honored Contributor II
1,945 Views

Hi, I have problem during implement the code on a board. I am using version 12.0 quartus II, and im using the 30days trial version,and im wondering,is t because of this that i cannot implement my project into the fpga board? Before the last stages of implementin the coding,i cannot locate my sof. File,the same thing happened to my friend who had the v12.0 and 30 days trial version..could this be the reason? Please reply as soon as you can thank you so much

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
361 Views

There are some device families that are fully supported by the free version and many that aren't. For those that are not supported Quartus will not generate you a .sof. 

 

Which device are you targeting?
0 Kudos
Altera_Forum
Honored Contributor II
361 Views

I'm targetting DE2-70 Cyclone II EP2C70F896C6. This device families are fully supported by free version?

0 Kudos
Altera_Forum
Honored Contributor II
361 Views

IIRC, Version 12 doesn't support any Cyclone II device. You need to download an earlier version of Quartus.

0 Kudos
Altera_Forum
Honored Contributor II
361 Views

Cyclone II devices appear to be supported by Quartus 13.0sp1 Web edition. Get it here: 

http://dl.altera.com/13.0sp1/?edition=web (http://dl.altera.com/13.0sp1/?edition=web

 

Regards, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
361 Views

Thank you so much,

0 Kudos
Reply