Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Quartus II : Problems with VHDL records?

Altera_Forum
Honored Contributor II
1,301 Views

I have a problem with the synthesis of my design: 

 

A component has an AXI slave interface. In the architecture of this component the AXI signals are being combined into a record an then assigned to deeper hierarchy levels. 

 

When synthesizing this component I get error 10031 which says that I'm driving a signal from multiple sources. 

 

I checked a gazillion times and I'm absolutely sure that this is not the case - not in this file and not in any other file being used in the design. A strange point is that Quartus says that all 4 bits of a 4 bit input signal (ARLEN) are being assigned to the MSB of the record signal AXI_RECORD.ARADDR 

 

To me it looks like Quartus might have some issues with records. Is this true or are there other reasons for this error (as I said previously I can rule out VHDL errors causing what 10031 says).
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
351 Views

Are you sure about the multiple drivers? I think its a multiple driver problem if you drive different record elements from different processes, or different bits of a record element.  

 

Does the design simulate ok? do you get any 'XXX' values anywhere? 

 

Can you show the code with the declaration of the record and where it is used/assigned?
0 Kudos
Altera_Forum
Honored Contributor II
351 Views

Unfortunately I cannot show the code. But I could solve the problem. Apparently it was the AXI record. After I removed the record and assigned all signals individually Quartus did not report any more errors

0 Kudos
Reply