Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

How to solve this " The design unit was not found" in Modelsim

Altera_Forum
Honored Contributor II
1,255 Views

Hi, All.  

Please look at my problem and give a tip.. T.T 

 

Environment: Altera11.1, Modelsim 10.0c, MAX7000S 

Work:  

1. Designing a schematic: I used Block or symbols stored into "other-maxplus2-4count" of the altera11.1 library  

2. And then compiling and creating this ~.bdf into ~.v file 

3. In order to simulate ~.v file, run Modelsim. But this problem happened 

 

Loading some flip-flop and divider components is okay. But this is cannot be solved. 

 

Please help me 

 

Example Error code 

------------------------------------------------------------------------------------------------------------------------ 

vsim work.testbench_test# vsim work.testbench_test # Loading work.testbench_test# Loading work.test# ** Error: (vsim-3033) C:/altera/11.1/temp/test.v(54): Instantiation of 'count4' failed. The design unit was not found.# Region: /testbench_test/U1_test# Searched libraries:# C:\altera\11.1\temp\work# Error loading design 

------------------------------------------------------------------------------------------------------------------------- 

 

Thanks in advance, all
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
345 Views

have you got the altera libraries included in modelsim. Im not sure if the maxplus2 libraries are included by default, or if they even exist (they are very old libraries). I suggest using the lpm and altera_mf libraries as these do have modelsim compatible libraries.

0 Kudos
Reply