Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

library settings

Altera_Forum
Honored Contributor II
1,371 Views

When compiling Quartus II project, I keeps getting error: component ... not found or could not be instantiated. 

 

I have add my users' components library directory at "Tools->Options->libraries" 

 

and at Qsys "Tools->Options->IP search path" 

Qsys was generated successfully. 

 

Is there anywhere else I need to modify regarding to this error?  

I noticed the .qsf has changed automatically once I modified the library directory at Menu "Options" 

The .ipx file is basically for Qsys to find its components and it has no problem either. 

 

Any advice is welcome. 

I have no clue at this point. 

Thank you.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
587 Views

What about explicitly adding the file/s? The libraries are only places for Quartus to look for design files that match the missing component name. For example, if your HDL instantiates a module/entity called blaa, Quartus will first look through all the files specificially added in Project -> Add Files to see if blaa is defined anywhere. If not, then it looks in the libraries for files that have that in the name, like blaa.v or blaa.vhd. It does not search all files, just known filetypes. It also won't look inside the files if the names don't match, so if you have a file called blaa_altera.v, which has a module called blaa inside, it won't look at that because the name doesn't match blaa. Just some ideas as to what might be happening.

0 Kudos
Altera_Forum
Honored Contributor II
587 Views

It is much easily to explicity included your source. QSYS should have creted .qip file that you can add to the file list nd it should add all the generated HDL files.  

 

The only time I have successfully used the libraries section of quartus is for AHDL .inc files.
0 Kudos
Altera_Forum
Honored Contributor II
587 Views

First of all, Thank you for your reply, Rysc and Tricky. 

I tried to add the components' source files to the project, but it didn't help. 

Then I added the .qip file of the Qsys system, and this time it worked for most of the component except for one. That component still shows "not found or could not be instantiated".  

 

There are two questions here I can't understand. 

1. this component is saved in the same directory as other comonents. Why only this one could not be found? 

2. There was no problem when generating the Qsys system. In other words, the componont was instantiated in the Qsys tool. Then why it can't be found in Quartus II? The Quartus II project has the .qsys file included. 

 

Thank you and again any advice is welcome. 

I'm thinking I may have overlooked something in the process.
0 Kudos
Altera_Forum
Honored Contributor II
587 Views

If it's a QSYS file, then letting the .qip handle it is the way to go. If it's explicitly pointing to the file, there should be a warning that it wasn't found or something like that. If it is found, it should say what modules it found in the file. I'm really not sure what's going on though.

0 Kudos
Altera_Forum
Honored Contributor II
587 Views

.qsys files are not used in Quartus, it only uses the generated HDL files. So remove the .qsys file from the file list. Is this missing component a custom one? what language is it written in? if its VHDL - have you used a component declaration, and does that declaration match the entity declaration? (a missmatch will cause an error like the one you suggest).

0 Kudos
Altera_Forum
Honored Contributor II
587 Views

Here's an update of my issue.  

Tricky is right. I should not add .qsys file into the project. 

Once I remove the .qsys file, that error does not exist any more. 

Thank you, guys, for the replies.
0 Kudos
Reply