Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

QuartusII Error: Please Help me.

Altera_Forum
Honored Contributor II
1,255 Views

Hello, 

this is the first time I use QuartusII: I'm a newbie with FPGA design. 

I have installed the Web Edition version 13.1 on a WindowsXP Pro 32bit (Athlon XP+2800, 2GB RAM + 2GB free hard disk space). 

When I open the project and select Processing->Start Compilation the "analysis and synthesis" completes without problems but the "Fitter (Place and Route) stops every time at 90% when the "post fitting delay annotation" is running and this error windows is displayed. 

The error says: 

 

*** fatal error: unrecognized windows exception 

module: quartus_fit.exe 

stack trace: 

0x13243: tbb::internal::generic_scheduler::get_task + 0x23  

0x15020: tbb::internal::custom_scheduler<tbb::internal::intelschedulertraits>::local_wait_for_all + 0x220  

0x56eae: tis_re_delay_tree_netlist::is_itt_starting_point + 0x1b0e  

0x14fe6: tbb::internal::custom_scheduler<tbb::internal::intelschedulertraits>::local_wait_for_all + 0x1e6  

0x56836: tis_re_delay_tree_netlist::is_itt_starting_point + 0x1496  

0x54117: tis_re_delay_tree_netlist::tis_re_delay_tree_netlist + 0x4e7  

0xa6a4: tapi_root_impl::annotate_atom_netlists_post_fit + 0x234  

0xc22e: tapi_root_impl::annotate_all_iterms + 0xae  

0x71a8: tapi_root_impl::start + 0x368  

0x7994: tapi_root_impl::start + 0x64  

0xa4333: fitcc_tdc_utility::initialize_dat + 0x2b3  

0xa482d: fitcc_tdc_utility::setup_tdc_utility + 0x9d  

0xa6552: fitcc_tdc_utility::fitcc_tdc_utility + 0x1d2  

0x38f7e: fitcc_env::get_tdc_utility_or_create_if_necessary + 0x30e  

0x1c12a6: fsac_auto_delay_chain_op::work_new + 0x1c6  

0x1abe4f: fsac_delay_chain_op::work + 0x22f  

0x7db9: fcuda_expert::fitter_post_operations + 0x1b9  

0x404ad: fitcc_expert::fitter_post_operations + 0x1ad  

0x41e8e: fitcc_expert::invoke_fitter + 0x88e  

0x5052: _fcuda_execute + 0x1f2  

0xa52c: fmain_start + 0x6ac  

0(continued in attached text file) 

 

This error happens also with project examples on the DE0 board CD from Terasic so this isn't a problem caused by my project but it's caused by my computer (hardware requirements or Windows configuration). 

Can anyone help me? 

 

Best regards.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
533 Views

Hi,  

I propose to recreate the project from sources, and do assignements manually. Maybe there are incompatibilities in project settings. 

 

Does it happen with EVERY project ? Try a very trivial single one you make yourself (NOT an example). 

 

You can send an Altera Request on www.altera.com 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
533 Views

Hello, 

 

the problem happens with every project I open. 

I have opened and compiled the project on another PC (Windows Vista 64bit on I5 core) and it works perfectly so I suppose there is a problem on my PC. 

When I installed QuartusII I have deselected "64 bit support" because my PC is 32 bit. Was this module necessary? I don't understand where is the problem.
0 Kudos
Altera_Forum
Honored Contributor II
533 Views

THe problem with quartus and newer devices is it needs more and more memory. Even though 2GB is the minimum, I would probably recommend a 64bit OS with 4-6GB memory minumum. 

 

Have you tried deleting the DB and incremental DB directories? 

if that doesnt work, try contacting altera mysupport.
0 Kudos
Altera_Forum
Honored Contributor II
533 Views

Hello, 

yes, I've tried to delete DB and incremental DB directories but the problem hasn't been resolved. 

I suppose I should install a previous version of QuartusII on this machine if you say that the system requirements are so high.
0 Kudos
Altera_Forum
Honored Contributor II
533 Views

In release notes (.pdf) of Quartus on www.altera.com, you will see the minimum requirements for each target device. 

 

I had Comodo Defense+ which disturb Quartus.
0 Kudos
Altera_Forum
Honored Contributor II
533 Views

Hello, 

 

just for your information I've installed QuartusII ver. 13.0sp1 and my project is compiled correctly. No more errors! 

I don't know why on release 13.1 there are so much problems but I will use the 13.0sp1 version.
0 Kudos
Reply