Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Error on simulation: Quartus 13.1 + Qsim + Modelsim

Altera_Forum
Honored Contributor II
1,501 Views

Hello, 

 

I am trying to simulate using vwf files in Quartus 13.1 + Qsim. I create the vector waveform file and then I try to 

run a functional simulation, but I got the error below 

# ** Error: (vsim-3170) Could not find 'work.mydesign_vlg_vec_tst'. 

 

#  

 

# Error loading design 

 

Error loading design 

 

 

 

Error.  

 

 

I believe this vlg is related to verilog (my hardware descriptions are in vhdl). There is only one file 

named mydesign.vhd in my project. Any ideas of what is the problem? 

 

Thank you all
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
739 Views

I have the same problem when I use one separate file of constants. 

Have you already found a solution to this problem? 

 

 

 

--- Quote Start ---  

Hello, 

 

I am trying to simulate using vwf files in Quartus 13.1 + Qsim. I create the vector waveform file and then I try to 

run a functional simulation, but I got the error below 

# ** Error: (vsim-3170) Could not find 'work.mydesign_vlg_vec_tst'. 

 

#  

 

# Error loading design 

 

Error loading design 

 

 

 

Error.  

 

 

I believe this vlg is related to verilog (my hardware descriptions are in vhdl). There is only one file 

named mydesign.vhd in my project. Any ideas of what is the problem? 

 

Thank you all 

--- Quote End ---  

0 Kudos
Altera_Forum
Honored Contributor II
739 Views

Not yet, Leandro

0 Kudos
Altera_Forum
Honored Contributor II
739 Views

Have you managed to solve the problem guys? I'm facing the same issue!

0 Kudos
Altera_Forum
Honored Contributor II
739 Views

 

--- Quote Start ---  

Have you managed to solve the problem guys? I'm facing the same issue! 

--- Quote End ---  

 

 

No, I haven't
0 Kudos
Altera_Forum
Honored Contributor II
739 Views

Check out this thread, someone proposes a solution but I couldn't know how to apply it! 

If you got something to work please inform me. 

 

http://www.alteraforum.com/forum/showthread.php?t=43528
0 Kudos
Reply