Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

problem running modelsim on ubuntu 14.04

Altera_Forum
Honored Contributor II
3,897 Views

Hi all -- I'm just posting this here in case it is useful to others (and hoping that someone smarter will have an elegant solution or more information). I am generally good at googling for solutions to this stuff but information is sparse on this one. 

 

Yesterday I went with the masses and upgraded a new machine to ubuntu 14.04. Of the tools i'm trying to learn (I'm new to this world), the only obvious thing that broke was modelsim (that is the only thing I noticed). The latest matlab, quartus, dsp-builder and everything else I'm counting on *seem* to be working fine. 

 

It turns out that others seem to have experienced it in other linux versions in recent months and it is related to a libfreetype6 version issue (see here: https://communities.mentor.com/mgcx/thread/13821?tstart=0).  

 

Launching vsim would cause a segmentation fault.  

 

I forced the install of an older version of libfreetype and now modelsim launches fine but I am unsure of the state of anything else on this machine. I did not use the exact solution recommended in that thread above but may get to the point of doing that now that I understand at least a bit about the problem. 

 

If anyone has a cleaner solution other than sticking with ubuntu 12.04/13.1, please let me know. The compatibility of the various tools vs. operating system is a bit messy (especially when you span into SoCKit territory with yocto where Ubuntu is recommended even though not supported officially by Quartus), but that is another story...  

 

 

Thanks ! 

Lance
0 Kudos
14 Replies
Altera_Forum
Honored Contributor II
807 Views

It is a shameless plug for my own work but there is a fairly painless method I created a blog post to describe here: 

http://mattaw.blogspot.com/2014/05/making-modelsim-altera-starter-edition.html 

 

That should allow the rest of the system to use the modern libfreetype while providing the older version only to modelsim. 

 

Matthew
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Matthew, 

 

Thanks! I ended up actually going to Redhat for now because of a number of other tools that were only supported there. While it was a little painful, I've gotten used to it. 

 

That previous hack broke some other stuff for me but it sounds like your version should be safe. I may end up going back to Ubuntu at some point because the lack of drivers for this laptop for things like the touchpad make it painful to use. 

 

cheers and thanks again, 

Lance
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

To be honest I just use the free version of modelsim when traveling. I also don't bother with the other tools. Licensing mostly and if you are not running them on redhat it is a terrible struggle all the time... 

 

M
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

 

--- Quote Start ---  

I forced the install of an older version of libfreetype and now modelsim launches fine but I am unsure of the state of anything else on this machine. I did not use the exact solution recommended in that thread above but may get to the point of doing that now that I understand at least a bit about the problem. 

 

--- Quote End ---  

 

Just wondering which version of libfreetype you reverted to to make Modelsim launch. 

I've tried mattaw's fix, and something isn't happy, but it could be the newer version of libfreetype, or possible another dependency. 

Such a frustrating problem (but I should be used to that after using Ubuntu for a year or two). :(
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

I used this specific set of instructions and it seems to work OK on Ubuntu 14.04 on two of my machines 

 

I think this just elaborates on mattaw's instructions. I have to admit that I think there are some cases where something isn't right; but I have an alias to one particular launch method of modelsim that works fine for stand alone for me (as far as I know)  

 

~/altera/13.1/modelsim_ase/bin/vsim 

 

The same works for V14.0; I just have been sticking with 13.1 because some of the SoC stuff seems to have changed enough in V14 that I can't build the reference designs fully (device tree/preloader problems, I forgot which). 

 

Here are the instructions that point to a specific version... 

http://www.hs-augsburg.de/~beckmanf/dokuwiki/doku.php?id=ubuntu_virtual_cae_system#modelsim_incompatible_libfreetype 

 

-Lance
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Could you cut and paste the error? It is a bit hard to figure out from what you have posted to give any advice. Have you installed the other libraries as documented in my fix as well as edited the vsim file? (It looks like it but it can't hurt to double check). 

 

M
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

 

--- Quote Start ---  

Could you cut and paste the error? It is a bit hard to figure out from what you have posted to give any advice. Have you installed the other libraries as documented in my fix as well as edited the vsim file? (It looks like it but it can't hurt to double check). 

--- Quote End ---  

 

I managed to get it working. 

Last night I was trying with the latest version of FreeType (2.5.3), but realised something was wrong so I changed to the specific version in your help guide (2.4.12). 

 

The error I was getting in both cases was still the one from your guide: 

"** Fatal: Read failure in vlm process (0,0) 

Segmentation fault (core dumped)" 

 

... so I was confused as to what was wrong, but (and I'm still confused as to why...) after a system reset it seemed to come good. I don't think it's a permissions thing.
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Sounds odd. Glad it worked out for you. 

 

Can't understand why it needs the older version of libfreetype but that is the last version that worked. 

 

M
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Not sure why my post didn't make it through moderation, but I tried to post this link last night -- some specific/more elaborate instructions based on yours 

http://www.hs-augsburg.de/~beckmanf/dokuwiki/doku.php?id=ubuntu_virtual_cae_system#modelsim_incompatible_libfreetype 

 

-Lance
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Hi, 

 

I've used Mattaw tip and it worked. I am using Ubuntu 14.04 32 bits. 

There is another problem now... Well, I believe that the attached image will show exactly what the problem is 

 

How can I solve this black interface?
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

you others that have fixed modelsim with matthews fix, (yes it partially works, if i start modelsim with bin/vsim from a terminal) but if i click on RTL simulation or want Quartus II 64bit (14.0) to make me a testbench, then quartus_sh goes defunct after it trys to spawn modelsim, that is it trys to start modelsim but it crashes.. 

 

or does everyone create there do files by hand with this fix, and run the do from inside vsim started externaly?
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

I always use testbench + .do files, both created by hand. I don't know what crash message you've got, but on previous versions sometimes Quartus calls modelsim and try to generate a tesbench in verilog (but my design is in VHDL). It simple crash saying that couldn't find the verilog file (that was supposed to be created automatically).

0 Kudos
Altera_Forum
Honored Contributor II
807 Views

Yes, in my case I have (to this point) always created my own testbenches and launched modelsim stand-alone. I would like to start trying to run modelsim from Quartus (to at least try that method), so if anyone does come up with a fix, I would like to see. 

 

Otherwise maybe if we can track down how Quartus is launching it, perhaps there is a similar fix (i.e. through modifying a script that Quartus calls). 

-Lance
0 Kudos
Altera_Forum
Honored Contributor II
807 Views

it launches a quartus_sh script it seems if you do a ps aux|grep quartus .. its also the script it references in nativelink report file and/or in the console windows in quartus when you click RTL sim... 

 

i've installed centos 6,5 just cause quartus works as expected there.. so i can keep up with the studies..
0 Kudos
Reply