Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Internal Error in Quartus II 13.1

Altera_Forum
Honored Contributor II
1,184 Views

Hi, 

 

I am using Quartus II 13.1 in XP 64 and when I try to compile my design I have this error : 

 

Internal Error: Sub-system: VRFX, File: /quartus/synth/vrfx/verific/database/net.cpp, Line: 2541 

tmp 

Stack Trace: 

 

0xb51ab: VRFX_ELABORATOR::elaborate + 0x5ba9b  

0xb4e90: VRFX_ELABORATOR::elaborate + 0x5b780  

0xaaa08: VRFX_ELABORATOR::elaborate + 0x512f8  

0xab1a5: VRFX_ELABORATOR::elaborate + 0x51a95  

0x190222: VRFX_ELABORATOR::operator= + 0x80932  

0x1908bc: VRFX_ELABORATOR::operator= + 0x80fcc  

0x60316: VRFX_ELABORATOR::elaborate + 0x6c06  

0x598fc: VRFX_ELABORATOR::elaborate + 0x1ec  

0xd6f93: sgn_clear_check_ip_functor + 0x3a323  

0xdac3f: sgn_clear_check_ip_functor + 0x3dfcf  

0xdc525: sgn_clear_check_ip_functor + 0x3f8b5  

0xa57d4: sgn_clear_check_ip_functor + 0x8b64  

0xb5efc: sgn_clear_check_ip_functor + 0x1928c  

0xb286a: sgn_clear_check_ip_functor + 0x15bfa  

0xb5f4c: sgn_clear_check_ip_functor + 0x192dc  

0xb286a: sgn_clear_check_ip_functor + 0x15bfa  

0xb5f4c: sgn_clear_check_ip_functor + 0x192dc  

0xba0dd: sgn_clear_check_ip_functor + 0x1d46d  

0x10de2: sgn_qic_full + 0x152  

 

 

 

0x128ed: qexe_get_command_line + 0x206d  

0x1573e: qexe_process_cmdline_arguments + 0x59e  

0x15851: qexe_standard_main + 0xa1  

 

0xa7f8: msg_exe_fini + 0x58  

0xaf3c: msg_exe_fini + 0x79c  

0x1f14: MEM_SEGMENT_INTERNAL::~MEM_SEGMENT_INTERNAL + 0x194  

0xb8bf: msg_exe_main + 0x8f  

 

0x1969b: BaseProcessStart + 0x2b  

 

End-trace 

 

Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 

 

 

Does anyone can help me please?  

 

Nicolas
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
451 Views

Hi, you can delete the "db" subdir of your project. It often helps.

0 Kudos
Altera_Forum
Honored Contributor II
451 Views

I have the solution! 

 

It was global signals that I don't exclude from synthesis. 

I used global signals in my simulation and I forgot to exclude them from synthesis. 

 

But I didn't have error messages during synthesis process. I don't know why...
0 Kudos
Altera_Forum
Honored Contributor II
451 Views

You should send this solution in an Altera request.

0 Kudos
Reply