Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Stratix V DSP Modes

Altera_Forum
Honored Contributor II
981 Views

I'm trying to use the 3 9x9 Independent Multiplier Mode of the Variable DSP blocks in the Stratix V. According to page 3-12 of the Stratix V handbook (here (http://www.altera.com/literature/hb/stratix-v/stratix5_handbook.pdf)), Quartus should be able to pack 3 9x9 multipliers into a single DSP block. I wrote a simple module to take 6 9x9 inputs, perform 3 independent multiplications on them (using either the * operator or LPM_MULT), and output 3 18 bit products, but the synthesis report says it requires 3 DSP blocks. Does anybody know how to get Quartus to recognize that this can be packed into a single DSP block?

0 Kudos
0 Replies
Reply