Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartues 13.0 error

Altera_Forum
Honored Contributor II
10,438 Views

Hello everyone. 

I downloaded Quratus II 13.0, and used it for the first time to compile a very simple and circuit which I called Myfirstprogaram_13. The compilation was successful, but after simulating the file I got the next report: 

 

Device family: MAX7000S 

Running quartus eda_testbench 

>> quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog Myfirstprogaram_13 -c Myfirstprogaram_13 {--vector_source=C:/Users/Mohamed/Desktop/3100/Lab1_13/Myfirstprogaram_13.vwf} {--testbench_file=./simulation/qsim/Myfirstprogaram_13.vt} 

PID = 4928 

******************************************************************* 

Running Quartus II 32-bit EDA Netlist Writer 

Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 

Processing started: Sun Jul 20 01:39:45 2014 

Command: quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog Myfirstprogaram_13 -c Myfirstprogaram_13 --vector_source=C:/Users/Mohamed/Desktop/3100/Lab1_13/Myfirstprogaram_13.vwf --testbench_file=./simulation/qsim/Myfirstprogaram_13.vt 

Generated Verilog Test Bench File ./simulation/qsim/Myfirstprogaram_13.vt for simulation 

Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 

Peak virtual memory: 300 megabytes 

Processing ended: Sun Jul 20 01:39:48 2014 

Elapsed time: 00:00:03 

Total CPU time (on all processors): 00:00:02 

Running quartus eda_func_netlist 

>> quartus_eda --functional=on --simulation --tool=modelsim_oem --format=verilog Myfirstprogaram_13 -c Myfirstprogaram_13  

PID = 3720 

******************************************************************* 

Running Quartus II 32-bit EDA Netlist Writer 

Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition 

Processing started: Sun Jul 20 01:39:49 2014 

Command: quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog Myfirstprogaram_13 -c Myfirstprogaram_13 

Generated file Myfirstprogaram_13.vo in folder "C:/Users/Mohamed/Desktop/3100/Lab1_13/simulation/modelsim/" for EDA simulation tool 

Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings 

Peak virtual memory: 300 megabytes 

Processing ended: Sun Jul 20 01:39:52 2014 

Elapsed time: 00:00:03 

Total CPU time (on all processors): 00:00:02 

******************************************************************* 

Running quartus modelsim 

>> vsim -c -do Myfirstprogaram_13.do 

PID = 600 

 

++++++++++++++++++++++++ 

 

And on the simulation flow wind I got the following message: Errors occurred during modelsim simulation. 

 

 

 

 

 

Can anyone help? 

Regards
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
7,679 Views

Hi, have you installed ModelSim ? 

 

Could you launch ModelSim by yourself, if needed load the associated modelsim project (*.mpf) and run the command : vsim -c -do Myfirstprogaram_13.do 

I don't use Quartus to launch Modelsim, so I don't know how it is parsed.
0 Kudos
Altera_Forum
Honored Contributor II
7,679 Views

Hello mabderrahmane. 

 

I have the same issue when I launch a simulation from the simulation waveform editor of Quartus II, the window of the simulation flow stop with this message “Errors occurred during modelsim simulation”. As you did, I installed Quartus 13.0 including Modelsim-Altera start edition from the DVD of a DE0-Nano kit, in order to learn about FPGA design. We have did that, myself and a colleague, my colleague have no problem, le simulation process from the simulation waveform editor of Quartus run fine, but not for me with the same project files (both on windows 7, but on two different machines). Yesterday, I tried to do a simulation from modelsim start edition directly, building a project reusing the same vhdl file, it was ok … modelsim work fine! 

But, I would like to use only Quartus framework without to create two work projects. 

 

Have you find a solution about this issue? 

Can anyone help us?
0 Kudos
Altera_Forum
Honored Contributor II
7,679 Views

Hi, 

It seems I found the reason of this issue … 

There was a difference in modelsim installation beetween my colleague and me. On my computer, I had two folders for modelsim, one for modelsim with licence (C:\altera\13.0\modelsim_ae) AND an another for modelsim start edition (C:\altera\13.0\modelsim_ase), I don’t know how I did with the DE0 nano kit installation DVD to obtain these two folders whereas in the modelsim installation on the machine of my colleague they was only one folder (C:\altera\13.0\modelsim_ase). 

I have properly set the MGLS_LICENSE_FILE environment variable so that modelsim (not the start edition) can find a license on a server of my company, and then the simulation process from waveform editor of Quartus run fine to the end, … and curiously at whatever the path are specified for the EDA tool options in ‘ModelSim-Altera’ field : C:\altera\13.0\modelsim_ase\win32aloem or C:\altera\13.0\modelsim_ae\win32aloem, it seems that Quartus don’t care about this information. 

Mabderrahmane, could you verified if you have these two folders, modelsim_ase and modelsim_ae ? If yes, I suggest you to reinstall the sofware in order to have only the installation of ModelSim started edition … 

I hope this could help you. 

Regards
0 Kudos
Reply