Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus 13.1.4 internal error while compiling

Altera_Forum
Honored Contributor II
1,238 Views

Hi everyone, 

 

I just installed Quartus II 13.1.4 on Ubuntu 12.04. I tried to compile the golden hardware example which located in altera/13.1/embedded/examples/hardware/cv_soc_devkit_ghrd. I got the follwing error while compiling this project. 

 

Internal Error: Sub-system: TIS, File: /quartus/tsm/tis/tis_physical_timing_api.cpp, Line: 4402 

Found Physical Timing arcs with no logical equivalent. Need to create a derived class to handle these arcs 

Stack Trace: 

0x1bad4d: TIS_AV_HS_HPS_TIMING_ANNOTATOR::annotate_unknown_delays(BCM_TIMING_NETLIST*, QTL_HASH_LITE<unsigned int, CDB_ATOM_NODE*, QTL_OPS<unsigned int> > const&, B2T_PORT_MAP_DATA const&, _Dinkum_std::vector<BCM_TIMING_ARC, MEM_STL_ALLOCATOR<BCM_TIMING_ARC> > const&, TIS_BCM_TIMING_CACHED_DELAYS&) const + 0x3ed (tsm_tis) 

0x2c0a2a: TIS_PHYSICAL_TIMING_ANNOTATOR::annotate_atom_delays(BCM_TIMING_NETLIST*, QTL_HASH_LITE<unsigned int, CDB_ATOM_NODE*, QTL_OPS<unsigned int> > const&, B2T_PORT_MAP_DATA const&, TIS_BCM_TIMING_CACHED_DELAYS&, U2B2_PORT_MAP_DB const*, bool) const + 0x6ea (tsm_tis) 

0x368d9c: TIS_PHYSICAL_TIMING_ANNOTATOR::annotate_timing(U2B_ATOM_CONTENT*, U2B_BCM_CONTENT*, BCM_TIMING_NETLIST*, bool, TIS_BCM_TIMING_CACHED_DELAYS&, bool) const + 0x35c (tsm_tis) 

0x3641a4: TIS_PHYSICAL_TIMING_API::annotate_timing(TIS_U2B_PROXY*, _Dinkum_std::list<CDB_ATOM_NODE*, MEM_STL_ALLOCATOR<CDB_ATOM_NODE*> >::const_iterator, _Dinkum_std::list<CDB_ATOM_NODE*, MEM_STL_ALLOCATOR<CDB_ATOM_NODE*> >::const_iterator, TIS_ANNOTATION_MODE, bool) + 0x16d4 (tsm_tis) 

0x366e95: TIS_MANAGER_IMPL::annotate_bcm_netlist(CDB_CHIP_DB_ENTRY*, bool) + 0x2f5 (tsm_tis) 

0x36735e: TIS_MANAGER::annotate_bcm_netlist(CDB_CHIP_DB_ENTRY*, bool) + 0x2e (tsm_tis) 

0x1ae46: TAPI_ROOT_IMPL::annotate_non_pll_atoms(bool) + 0x590 (tsm_tapi) 

0x2c308: TAPI_ROOT_IMPL::start(TAPI_ROOT::INVOKE_MODE, bool, TAPI_TIMING_MODEL, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0x418 (tsm_tapi) 

0x2c86b: TAPI_ROOT_IMPL::start(TAPI_ROOT::INVOKE_MODE, bool, dat_timing_models, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0xbb (tsm_tapi) 

0x2c90e: TAPI_ROOT::start(TAPI_ROOT::INVOKE_MODE, bool, dat_timing_models, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0x8e (tsm_tapi) 

0xeb8c8: FITCC_TDC_UTILITY::initialize_dat(TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool) + 0x438 (fitter_fitcc) 

0xf9c67: FITCC_TDC_UTILITY::setup_tdc_utility(TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool, bool, bool, bool) + 0xfc7 (fitter_fitcc) 

0x13c412: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY(FITCC_ENV const*, TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool, bool, bool, bool) + 0x2a2 (fitter_fitcc) 

0x13cb75: FITCC_ENV::get_tdc_utility_or_create_if_necessary(FITCC_ENV::FITCC_TDC_UTILITY_REQUEST_TYPE, TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool) + 0x5f5 (fitter_fitcc) 

0x4b0a0: FSV_EXPERT::fitter_preparation_post_fpp(bool) + 0x13e0 (fitter_fsv) 

0x4bb85: FSV_EXPERT::fitter_preparation() + 0x65 (fitter_fsv) 

0x3caab: FSV_EXPERT_BASE::fitter_preparation() const + 0x5cb (fitter_fsv) 

0x3ef5e: FSV_EXPERT_BASE::invoke_fitter() const + 0x8be (fitter_fsv) 

0x393fd: fsv_execute + 0x2cd (fitter_fsv) 

0x2a43c: fmain_start(CMP_FACADE*) + 0x68c (fitter_fmain) 

0x18857: qfit_execute_fit(QCU_FRAMEWORK*, QFIT_FRAMEWORK*) + 0x157 (comp_qfit_legacy_flow) 

0x114e0: QFIT_FRAMEWORK::execute() + 0x2d0 (comp_qfit_legacy_flow) 

0x25065: qfit_legacy_flow_run_legacy_fitter_flow + 0x285 (comp_qfit_legacy_flow) 

0x1ea06: TclInvokeStringCommand + 0x76 (tcl8.5) 

0x23352: TclEvalObjvInternal + 0x302 (tcl8.5) 

0x24be1: TclEvalEx + 0x401 (tcl8.5) 

0x256bb: TclEvalObjEx + 0x41b (tcl8.5) 

0x2c282: Tcl_EvalObjCmd + 0xd2 (tcl8.5) 

0x23352: TclEvalObjvInternal + 0x302 (tcl8.5) 

0x69e64: TclExecuteByteCode + 0x20e4 (tcl8.5) 

0xb1e6a: TclObjInterpProcCore + 0x11a (tcl8.5) 

0xb23c7: TclObjInterpProc + 0x67 (tcl8.5) 

0x23352: TclEvalObjvInternal + 0x302 (tcl8.5) 

0x69e64: TclExecuteByteCode + 0x20e4 (tcl8.5) 

0xb1e6a: TclObjInterpProcCore + 0x11a (tcl8.5) 

0xb23c7: TclObjInterpProc + 0x67 (tcl8.5) 

0x23352: TclEvalObjvInternal + 0x302 (tcl8.5) 

0x24be1: TclEvalEx + 0x401 (tcl8.5) 

0x2523e: Tcl_EvalEx + 0x2e (tcl8.5) 

0x91a61: Tcl_FSEvalFileEx + 0x281 (tcl8.5) 

0x91bcc: Tcl_FSEvalFile + 0x2c (tcl8.5) 

0x91c1e: Tcl_EvalFile + 0x3e (tcl8.5) 

0xccf1: qexe_evaluate_tcl_script(char const*) + 0x491 (comp_qexe) 

0x12b92: qexe_do_tcl(QEXE_FRAMEWORK*, char const*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > > const&, bool, bool) + 0x5f7 (comp_qexe) 

0x13b08: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > >*, bool) + 0x571 (comp_qexe) 

0x2b64f: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > >*, bool) + 0x94e (comp_qcu) 

0x78d4: qfit2_run_fit_mode(ACF_VARIABLE_TYPE_ENUM, char const*) + 0x94 (quartus_fit) 

0x1648c: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x566 (comp_qexe) 

0x7719: qfit2_main(int, char const**) + 0xe9 (quartus_fit) 

0x281da: msg_main_thread(void*) + 0x18 (ccl_msg) 

0x529e: thr_final_wrapper + 0xe (ccl_thr) 

0x28fc0: msg_thread_wrapper(void* (*)(void*), void*) + 0x6c (ccl_msg) 

0x1775d: mem_thread_wrapper(void* (*)(void*), void*) + 0xdd (quartus_fit) 

0xfec9: err_thread_wrapper(void* (*)(void*), void*) + 0x2a (ccl_err) 

0x56fa: thr_thread_wrapper + 0x2f (ccl_thr) 

0x3bfc7: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0xb7 (ccl_msg) 

0x79dd: main + 0x3d (quartus_fit) 

0x194d3: __libc_start_main + 0xf3 (c.so.6) 

0x6fb1: __gxx_personality_v0 + 0x299 (quartus_fit) 

 

 

End-trace 

 

 

Quartus II 32-bit Version 13.1.4 Build 182 03/12/2014 SJ Full Version 

Patches Installed: 4.44 

 

 

Can anyone help? 

 

Arthur
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
388 Views

The same happens to me with the GHRD on latest Quartus 14.0.1 on Fedora 20 system: 

 

Problem Details 

Error: 

Internal Error: Sub-system: TIS, File: /quartus/tsm/tis/tis_physical_timing_api.cpp, Line: 4396 

Found Physical Timing arcs with no logical equivalent. Need to create a derived class to handle these arcs 

Stack Trace: 

0x20ce3c: TIS_AV_HS_HPS_TIMING_ANNOTATOR::annotate_unknown_delays(BCM_TIMING_NETLIST*, QTL_HASH_LITE<unsigned int, CDB_ATOM_NODE*, QTL_OPS<unsigned int> > const&, B2T_PORT_MAP_DATA const&, _Dinkum_std::vector<BCM_TIMING_ARC, MEM_STL_ALLOCATOR<BCM_TIMING_ARC> > const&, TIS_BCM_TIMING_CACHED_DELAYS&) const + 0x39c (tsm_tis) 

0x288b82: TIS_PHYSICAL_TIMING_ANNOTATOR::annotate_atom_delays(BCM_TIMING_NETLIST*, QTL_HASH_LITE<unsigned int, CDB_ATOM_NODE*, QTL_OPS<unsigned int> > const&, B2T_PORT_MAP_DATA const&, TIS_BCM_TIMING_CACHED_DELAYS&, U2B2_PORT_MAP_DB const*, bool) const + 0x682 (tsm_tis) 

0x32ef86: TIS_PHYSICAL_TIMING_ANNOTATOR::annotate_timing(U2B_ATOM_CONTENT*, U2B_BCM_CONTENT*, BCM_TIMING_NETLIST*, bool, TIS_BCM_TIMING_CACHED_DELAYS&, bool) const + 0x2d6 (tsm_tis) 

0x3fc696: TIS_PHYSICAL_TIMING_API::annotate_timing(TIS_U2B_PROXY*, _Dinkum_std::_List_const_iterator<_Dinkum_std::_List_val<CDB_ATOM_NODE*, MEM_STL_ALLOCATOR<CDB_ATOM_NODE*> > >, _Dinkum_std::_List_const_iterator<_Dinkum_std::_List_val<CDB_ATOM_NODE*, MEM_STL_ALLOCATOR<CDB_ATOM_NODE*> > >, TIS_ANNOTATION_MODE, bool) + 0x18c6 (tsm_tis) 

0x3fec1b: TIS_MANAGER_IMPL::annotate_bcm_netlist(CDB_CHIP_DB_ENTRY*, bool) + 0x26b (tsm_tis) 

0x206fd: TAPI_ROOT_IMPL::annotate_non_pll_atoms(bool) + 0x471 (tsm_tapi) 

0x2c5d9: TAPI_ROOT_IMPL::start(TAPI_ROOT::INVOKE_MODE, bool, TAPI_TIMING_MODEL, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0x39d (tsm_tapi) 

0x2c9ee: TAPI_ROOT_IMPL::start(TAPI_ROOT::INVOKE_MODE, bool, dat_timing_models, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0x88 (tsm_tapi) 

0x2ca6b: TAPI_ROOT::start(TAPI_ROOT::INVOKE_MODE, bool, dat_timing_models, bool, TAPI_TIMING_EDGE_DELAYS*, bool) + 0x59 (tsm_tapi) 

0xb12d8: FITCC_TDC_UTILITY::initialize_dat(TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool) + 0x388 (fitter_fitcc) 

0xc005f: FITCC_TDC_UTILITY::setup_tdc_utility(TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool, bool, bool, bool) + 0x128f (fitter_fitcc) 

0x111b39: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY(FITCC_ENV const*, TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool, bool, bool, bool) + 0x289 (fitter_fitcc) 

0x1121fc: FITCC_ENV::get_tdc_utility_or_create_if_necessary(FITCC_ENV::FITCC_TDC_UTILITY_REQUEST_TYPE, TAPI_ROOT::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool) + 0x55c (fitter_fitcc) 

0x3e0721: FSAC_RP_UTIL_BODY::refresh_clock_atom_ids() + 0x151 (fitter_fsac) 

0x3e9421: FSAC_RP_UTIL::sweep_inverters_and_wire_luts_at_packable_boundaries() + 0x11 (fitter_fsac) 

0x4b9aa: fsv_do_user_register_packing(FITCC_ENV*) + 0x11a (fitter_fsv) 

0x4e662: FSV_EXPERT::fitter_preparation_post_fpp(bool) + 0xd92 (fitter_fsv) 

0x4f0ff: FSV_EXPERT::fitter_preparation() + 0x5f (fitter_fsv) 

0x45157: FSV_EXPERT_BASE::fitter_preparation() const + 0x187 (fitter_fsv) 

0x46d92: FSV_EXPERT_BASE::invoke_fitter() const + 0x752 (fitter_fsv) 

0x3fee4: fsv_execute + 0x2c4 (fitter_fsv) 

0x2ac80: fmain_start(CMP_FACADE*) + 0x480 (fitter_fmain) 

0x1a6ed: qfit_execute_fit(QCU_FRAMEWORK*, QFIT_FRAMEWORK*) + 0x17d (comp_qfit_legacy_flow) 

0x14e7d: QFIT_FRAMEWORK::execute() + 0x2bd (comp_qfit_legacy_flow) 

0x24fa0: qfit_legacy_flow_run_legacy_fitter_flow + 0x1a0 (comp_qfit_legacy_flow) 

0x2e8b6: TclInvokeStringCommand + 0x76 (tcl8.5) 

0x32ade: TclEvalObjvInternal + 0x2be (tcl8.5) 

0x342d0: TclEvalEx + 0x4f0 (tcl8.5) 

0x34cd3: TclEvalObjEx + 0x393 (tcl8.5) 

0x3abf1: Tcl_EvalObjCmd + 0x91 (tcl8.5) 

0x32ade: TclEvalObjvInternal + 0x2be (tcl8.5) 

0x73a7f: TclExecuteByteCode + 0x151f (tcl8.5) 

0xb5b87: TclObjInterpProcCore + 0x107 (tcl8.5) 

0x32ade: TclEvalObjvInternal + 0x2be (tcl8.5) 

0x73a7f: TclExecuteByteCode + 0x151f (tcl8.5) 

0xb5b87: TclObjInterpProcCore + 0x107 (tcl8.5) 

0x32ade: TclEvalObjvInternal + 0x2be (tcl8.5) 

0x342d0: TclEvalEx + 0x4f0 (tcl8.5) 

0x98c30: Tcl_FSEvalFileEx + 0x230 (tcl8.5) 

0x98d2e: Tcl_EvalFile + 0x2e (tcl8.5) 

0x10476: qexe_evaluate_tcl_script(char const*) + 0x32d (comp_qexe) 

0x14625: qexe_do_tcl(QEXE_FRAMEWORK*, char const*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > > const&, bool, bool) + 0x514 (comp_qexe) 

0x1549c: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > >*, bool) + 0x5c3 (comp_qexe) 

0x37eb9: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, _Dinkum_std::list<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> >, MEM_STL_ALLOCATOR<_Dinkum_std::basic_string<char, _Dinkum_std::char_traits<char>, MEM_STL_ALLOCATOR<char> > > >*, bool) + 0x894 (comp_qcu) 

0x17c37: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x431 (comp_qexe) 

0x9492: qfit2_main(int, char const**) + 0xc2 (quartus_fit) 

0x3f2c2: msg_main_thread(void*) + 0x10 (ccl_msg) 

0x76fc: thr_final_wrapper + 0xc (ccl_thr) 

0x3fef3: msg_thread_wrapper(void* (*)(void*), void*) + 0x5b (ccl_msg) 

0x1bcce: mem_thread_wrapper(void* (*)(void*), void*) + 0xbe (quartus_fit) 

0xc498: err_thread_wrapper(void* (*)(void*), void*) + 0x27 (ccl_err) 

0x7adc: thr_thread_wrapper + 0x15 (ccl_thr) 

0x63f1b: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0x99 (ccl_msg) 

0x21d65: __libc_start_main + 0xf5 (c.so.6) 

0x8d09: __gxx_personality_v0 + 0x301 (quartus_fit) 

 

End-trace 

 

 

Executable: quartus 

Comment: 

None 

 

System Information 

Platform: linux64 

OS name: Fedora Core 

OS version: 20 

 

Quartus II Information 

Address bits: 64 

Version: 14.0.1 

Build: 205 

Edition: Web Edition
0 Kudos
Altera_Forum
Honored Contributor II
388 Views

Hi, 

 

The same happens to me also on latest Quartus 14.0.1 on Windows 7 system. 

 

problem details 

Error: 

Internal Error: Sub-system: TIS, File: /quartus/tsm/tis/tis_physical_timing_api.cpp, Line: 4396  

Found Physical Timing arcs with no logical equivalent. Need to create a derived class to handle these arcs  

Stack Trace:  

0x6682a: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0x67ba  

0x63edd: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0x3e6d  

0x629b8: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0x2948  

0x6d2dd: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0xd26d  

0x6e1ce: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0xe15e  

0x6e578: TIS_RE_DELAY_TREE_NETLIST::is_itt_starting_point + 0xe508  

0x51ce0: TIS_MANAGER_IMPL::annotate_bcm_netlist + 0x170  

0xfa4a: TAPI_ROOT_IMPL::annotate_non_pll_atoms + 0x4aa  

0x9400: TAPI_ROOT_IMPL::start + 0x350  

0x9c0d: TAPI_ROOT_IMPL::start + 0x7d  

0x9ef3: TAPI_ROOT::start + 0xc3  

0xb8871: FITCC_TDC_UTILITY::initialize_dat + 0x621  

0xb8acc: FITCC_TDC_UTILITY::setup_tdc_utility + 0xbc  

0xbaea2: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY + 0x252  

0x3f65f: FITCC_ENV::get_tdc_utility_or_create_if_necessary + 0x35f  

0x2763b: FSV_REGISTER_PACKER_OP_INTERFACE::create_register_packer_legality_listeners + 0xe4b  

0x1d698: FSV_U2B_POSTFIT::operator= + 0x62c8  

0x144cf: fsv_initialize_fitter + 0x9af  

0x163f8: fsv_initialize_fitter + 0x28d8  

0x168a9: fsv_initialize_fitter + 0x2d89  

0x13ac2: fsv_execute + 0x22  

0xb909: fmain_start + 0x809  

0x266c: Legacy_fitter_Init + 0x15fc  

0x16ad: Legacy_fitter_Init + 0x63d  

0x1b6b: Legacy_fitter_Init + 0xafb  

0xf8a6: TclInvokeStringCommand + 0xc6  

0x112a8: TclEvalObjvInternal + 0x328  

0x121b5: TclEvalEx + 0x8d5  

0x12d48: TclEvalObjEx + 0x2d8  

0x1abbd: Tcl_EvalObjCmd + 0xfd  

0x112a8: TclEvalObjvInternal + 0x328  

0x56917: TclExecuteByteCode + 0xe47  

0xa2376: TclObjInterpProcCore + 0x76  

0x112a8: TclEvalObjvInternal + 0x328  

0x56917: TclExecuteByteCode + 0xe47  

0xa2376: TclObjInterpProcCore + 0x76  

0x112a8: TclEvalObjvInternal + 0x328  

0x121b5: TclEvalEx + 0x8d5  

0x7c117: Tcl_FSEvalFileEx + 0x1d7  

0x7a626: Tcl_EvalFile + 0x36  

0xb172: qexe_evaluate_tcl_command + 0xac2  

0x104fc: qexe_get_command_line + 0xf5c  

0x12f8d: qexe_run_tcl_option + 0x44d  

0x1b4ae: qcu_run_tcl_option + 0xace  

0x1358b: qexe_process_cmdline_arguments + 0x54b  

0x136f1: qexe_standard_main + 0xa1  

 

 

0x9678: msg_exe_fini + 0x58  

0x978c: msg_exe_fini + 0x16c  

0x12c4: MEM_INITIALIZER::~MEM_INITIALIZER + 0x184  

0xabe9: msg_exe_main + 0x99  

 

 

0x1652c: BaseThreadInitThunk + 0xc  

0x2c540: RtlUserThreadStart + 0x20  

 

 

End-trace  

 

 

Executable: quartus_fit 

Comment: 

None 

 

system information 

Platform: windows64 

OS name: Windows 7 

OS version: 6.1 

 

quartus ii information 

Address bits: 64 

Version: 14.0.1 

Build: 205 

Edition: Web Edition
0 Kudos
Altera_Forum
Honored Contributor II
388 Views

Hello, 

 

I resolved my problem. 

I properly uninstalled all Altera software in my computer (Quartus II, SOCEDS, Modelsim Altera edition). 

Then I re-installed Quartus II 14.0, then the patch 14.0.1. 

After that the compilation worked well. There were no more error. 

 

It was maybe due to a bad removal of the previous version of Quartus II 13.1.4. 

 

I hope my reply will help you. 

 

Good luck. 

David.
0 Kudos
Altera_Forum
Honored Contributor II
388 Views

I solved the problem by reinstalling Quartus II 14.0, BUT WITHOUT the 14.0.1 update

0 Kudos
Reply