Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

modelsim vlog error syntax error, unexpected IDENTIFIER, expecting clocking

Altera_Forum
Honored Contributor II
4,103 Views

I cannot compile one of my verilog files in modelsim altera edition. I get this error using the global primitive.  

# ** Error: (390): near "b2v_inst1": syntax error, unexpected IDENTIFIER, expecting clocking 

 

global b2v_inst1( .in(LCLK1), .out(g_lclk1_c0)); 

 

Any ideas on how to fix ? 

 

Thanks.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
2,489 Views

"global" is a SystemVerilog keyword.

0 Kudos
Reply