Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

instance ID - memory content editor

Altera_Forum
Honored Contributor II
1,212 Views

Hello, i have design the mic1 processor (as it is described in the Andrew Tanenbaum's book) and i want to check if the altsyncram memory works but after the simulation, there is no change in the mif.file after the write signal. For example i run the microinstuction ILOAD. Should i check the box " Allow In-System Memory Content Editor to capture and update content independently of the system clock" ? and if i should check it what am i suppose to fill in the space about the "The ‘Instance ID’ of this RAM is" ? 

 

-Kostas-
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
471 Views

The .mif file is a 'Memory Initialisation File' and is only used to load the RAM when the FPGA boots - or populate the RAM at the start of the simulation. You shouldn't expect the file's contents to change, only the contents of the RAM. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
471 Views

Thnx for the reply. Now, is there a way to check if the contents of the RAM change right?

0 Kudos
Altera_Forum
Honored Contributor II
471 Views

Yes, you can view the contents of an altsyncram in your simulation. 

 

Cheers, 

Alex
0 Kudos
Reply