Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

Problem with simulating IPs

Altera_Forum
Honored Contributor II
1,047 Views

I downloaded and complied SV_Reconfig_PMA_Controls_v13.1.zip from Altera WiKi . I don't have problems to compile this. However, I can't simulate using ModelSim due to the following reason. 

vsim -L sv_generic -L msim_top_custom -c -t 1ps -novopt top_tb # Loading sv_std.std# Loading work.alt_xcvr_reconfig_h# Loading work.altera_xcvr_functions# Loading work.top_tb# ** Error: (vsim-3033) ../source/top_tb.sv(94): Instantiation of 'top_mc' failed. The design unit was not found.# # Region: /top_tb# Searched libraries:# C:/altera/14.0/examples/xcvr_test/SV_Reconfig_PMA_Controls/source/sv_generic# C:/altera/14.0/examples/xcvr_test/SV_Reconfig_PMA_Controls/source/msim_top_custom# C:/altera/14.0/examples/xcvr_test/SV_Reconfig_PMA_Controls/source/work# Error loading design# Error: Error loading design # Pausing macro execution # MACRO C:\altera\14.0\examples\xcvr_test\SV_Reconfig_PMA_Controls\source\phy_sim_top.tcl PAUSED at line 117 

What is the problem and how can you fix it? 

Many thanks,
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
303 Views

It seems that you have not added top_mc component to your project properly.

0 Kudos
Altera_Forum
Honored Contributor II
303 Views

Thanks for your looking into this issue. This is an example which I downloaded from Altera Wiki. It claims that the .zip file includes everything which I need to simulate. I don't know why I have got this problem.

0 Kudos
Reply