Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20689 Discussions

megacore fft sumulation help

Altera_Forum
Honored Contributor II
1,348 Views

Hello to all. 

i want simulate the fft megacore with modelsim. 

I use the fft_tb.v generated from megacore. 

 

the output alwas stay at fixed value (see picture). 

 

i do not understand.... 

 

 

Now the simulation works in RTL mode only. 

In gate level not working. 

 

The outputs are always at HIz. 

 

I attach the modelsim projects. just edit the runme.tcl with correct quartus path.
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
479 Views

Is it SIMU_GATE or SIMU_RTL you are simulating? 

 

SIMU_GATE produces output with Quartus 14.0 + Modelsim 10.1e
0 Kudos
Altera_Forum
Honored Contributor II
479 Views

TNX James. 

I attach my simulation of SIMU_GATE with modelsim 64bit 10.2c. 

 

have you only launched the runme.tcl in the modelsim software, or have you used qartusII 14 for compilation?
0 Kudos
Altera_Forum
Honored Contributor II
479 Views

I ran your script in modelsim. I shall attach a picture of the output in a mo. Ahh sorry it was the RTL I simulated, which worked fine. So it is the gate level that fails? Sorry misread your initial post.

0 Kudos
Altera_Forum
Honored Contributor II
479 Views

I get the same result as the last pic you posted (running script only). Only bottom bit of the output active all others tri-state :-(. Very odd!

0 Kudos
Altera_Forum
Honored Contributor II
479 Views

 

--- Quote Start ---  

I get the same result as the last pic you posted (running script only). Only bottom bit of the output active all others tri-state :-(. Very odd! 

--- Quote End ---  

 

 

Yes.. rtl simulation have outputs ok.. 

gate simulation have output high impedance :(
0 Kudos
Altera_Forum
Honored Contributor II
479 Views

OK now i resolved the problem. 

I assigned incorrectly the size bus in my top level module. 

Now gate simulation works fine. 

TNX!!!!!!!!!!!!!!!
0 Kudos
Altera_Forum
Honored Contributor II
479 Views

Hi  

I am new to megacore. 

I need to have FFT worked for my OFDM. I generated FFT code with the megacore. 

How can I stimulate the output with the Modelsim. 

I attached th tb_fft.vhd fft.vhd and fft.vho files to the project but it didnt work. 

Please help
0 Kudos
Altera_Forum
Honored Contributor II
479 Views

In my first post i attach in a zip file (fft.zip) 

You can view those files, in particular file "runme.tcl".
0 Kudos
Reply