Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12602 Discussions

How simulate system with NIOS in Quartus?

Altera_Forum
Honored Contributor II
1,010 Views

Hello! I want to simulate my system, consists of spi, nios and pio(I build system in qsys). First I simulate only nios from eclips(using Modelsim), but when I decide to simulate system with nios in quartus. 

The task is to write one 32 bits number to spi and when write this word to pio. 

Is it possible to simulate system, including nios processor in quartus (because I couldn't set input signals to nios for spi) ?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
302 Views

It is always possible to simulate Nios systems. You can proceed to generate testbench system in Qsys. Then, you can run modelsim via Eclipse. Do refer to 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/an/an351.pdf 

 

Now, the word "possible" is used because not every IP supports simulation due to the lack of simulation model. For example, the spi you instantiated in Qsys is just a wrapper that does not come with SPI model, which means that you won't be able to emulate SPI behavior in simulation. However, if you have your own SPI model that comply to standard SPI, you can hook that up and simulate your design.
0 Kudos
Reply