Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Adding Lib in Model Sim

Altera_Forum
Honored Contributor II
1,843 Views

Hello, I am using sfixed data type in my vhdl code. (using ieee_proposed) When I try to compile the code in model sim, it gives me an error. Library ieee_proposed not found. Can anyone tell me how to add library in modelsim. 

 

Similary, I am also using a matrix package which contains typical matrix function. I also want to include it in model sim as lib...
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
598 Views

What version of modelsim are you using. Any version 10.1+ have the fixed point packages compiled into the ieee library (because it is part of VHDL 2008).  

 

but as a reference for library etc in modelsim - from the command line/.do file 

 

# create the library vlib my_library # compile files into a library vcom -work my_library my_vhdl_file.vhd # or if you have some compiled files elsewhere vmap my_library <some path>
0 Kudos
Reply