Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16598 Discussions

[Question]Random number generator?

Altera_Forum
Honored Contributor II
1,455 Views

I use DE1 KIT, i want to design random number generator by vhdl and the number in some numbers which I choose. Anyone can give me some idea, please? Thank you. Sorry for my bad english.

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
310 Views

The most obvious solution is the linear feedback shift register 

http://en.wikipedia.org/wiki/linear_feedback_shift_register
0 Kudos
Altera_Forum
Honored Contributor II
310 Views

Here's a Linear Feedback Shift-Register tutorial and VHDL source code ... 

 

https://www.ovro.caltech.edu/~dwh/correlator/pdf/lfsr_tutorial.pdf 

https://www.ovro.caltech.edu/~dwh/correlator/pdf/lfsr_tutorial_src.zip 

 

Cheers, 

Dave
0 Kudos
Reply