Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16611 Discussions

Can't run simulation on linux

Altera_Forum
Honored Contributor II
4,451 Views

Hello! 

i've install Quartus II web edition v15 with modelsim. When I try to run simulation from Quartus, it's write in the log 

Info (22036): Successfully launched NativeLink simulation (quartus_sh -t "/home/ellar/bin/altera/15.0/quartus/common/tcl/internal/nativelink/qnativesim.tcl" --rtl_sim "camera" "camera") Info (22036): For messages from NativeLink execution see the NativeLink log file /home/ellar/Work/PLIS/MY/camera/camera_nativelink_simulation.rpt  

and nothing else happens. 

 

camera_nativelink_simulation.rpt: 

Info: Start Nativelink Simulation process Info: NativeLink has detected Verilog design -- Verilog simulation models will be used ========= EDA Simulation Settings ===================== Sim Mode : RTL Family : cycloneive Quartus root : /home/ellar/bin/altera/15.0/quartus/linux64/ Quartus sim root : /home/ellar/bin/altera/15.0/quartus/eda/sim_lib Simulation Tool : modelsim-altera Simulation Language : verilog Simulation Mode : GUI Sim Output File : Sim SDF file : Sim dir : simulation/modelsim ======================================================= Info: Starting NativeLink simulation with ModelSim-Altera software Sourced NativeLink script /home/ellar/bin/altera/15.0/quartus/common/tcl/internal/nativelink/modelsim.tcl Warning: File camera_run_msim_rtl_verilog.do already exists - backing up current file as camera_run_msim_rtl_verilog.do.bak4 Info: Spawning ModelSim-Altera Simulation software  

 

When I try to run the modelsim manually with command /home/ellar/bin/altera/15.0/modelsim_ase/linuxaloem/vsim 

I've receive the following message: 

Error in startup script: Initialization problem, exiting. Initialization problem, exiting. while executing "InitializeINIFile quietly" invoked from within "ncFyP12 -+" (file "/mtitcl/vsim/vsim" line 1) ** Fatal: Read failure in vlm process (0,0)  

 

Is there any way to resolve this problem?
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
1,225 Views

It seems to relate to installation issue. Can you try reinstallation to see if it helps. Also, you may try with other Quartus II version to see if same issue exist?

0 Kudos
Altera_Forum
Honored Contributor II
1,225 Views

Hi ellar, 

 

Do you have Windows platform to try the Modelsim? I use Windows and there seems to be no similar issue observation.
0 Kudos
Altera_Forum
Honored Contributor II
1,225 Views

May I know what OS that you were using?

0 Kudos
Altera_Forum
Honored Contributor II
1,225 Views

Thank you for your answers! 

I will try to reinstall it today? but when I was trying to use it on Debian it was the same trouble. I suggested that the problem is in operation system and reinstall it to Fedora 21. It is not possible for me to work on Windows, so, Linux only.
0 Kudos
Altera_Forum
Honored Contributor II
1,225 Views

Well, fedora is not an supported OS. I would suggest you use the supported OS for linux instead e.g. redhat 5 and 6. But you can give it a try!

0 Kudos
Altera_Forum
Honored Contributor II
1,225 Views

 

--- Quote Start ---  

Well, fedora is not an supported OS. I would suggest you use the supported OS for linux instead e.g. redhat 5 and 6. But you can give it a try! 

--- Quote End ---  

 

 

This error is used by a freetype version conflict - which is why it won't work on any recent Linux distribution. Have a look here: 

 

https://wiki.archlinux.org/index.php/altera_design_software#with_freetype2_2.5.0.1-1 

 

(Error message in link slightly different, but I resolved the same error by using the older freetype library.)
0 Kudos
Reply