Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Making new library

Altera_Forum
Honored Contributor II
992 Views

Hi, I have vhdl file containing package declaration. Right now, I am calling that file (package) from work lib. But I want to create a new lib in which that package is included? How can I make new lib in Quartus II?

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
287 Views

You can use either GUI or commands specific to Quartus (or ModelSim) and you either create library or map to existing one. 

 

The simplest Quartus example is this: 

 

qsf:  

set_global_assignment -name VHDL_FILE C:/project1/my_package.vhd -library my_lib 

 

(alternatively use add files to project => properties => library then see the commands added in qsf) 

 

Then in vhdl:  

 

library my_lib;  

use my_lib.my_package.all;
0 Kudos
Reply