Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Frequency Divider

Altera_Forum
Honored Contributor II
1,389 Views

Hi. Can anyone advise me on how to program a 'divide by 50 frequency divider circuit using flip flops' ? Subsequently I need to modify it to 'divide by 25 million' later. Thank you. 

 

It should have a Input_clk, Nreset and a Output_clk. I need to know the design coding as well as the test bench coding. Thanks.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
319 Views

You should do your own homework assignments or you won't learn anything. Just saying...

0 Kudos
Altera_Forum
Honored Contributor II
319 Views

I advise you dont go down the usual trap of creating a load of different clocks. I suggest creating clock enables instead.

0 Kudos
Altera_Forum
Honored Contributor II
319 Views

Yup, it was an assignment. I managed to troubleshoot my errors already. Thank you.

0 Kudos
Reply