Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

Stratix II Flash Programming From Simulink (DSP Builder)

Altera_Forum
Honored Contributor II
1,059 Views

Hi all,  

 

First of all, that's what I'm using: 

- Stratix II EP2S60 DSP Development Board  

- Matlab & Simulink with DSP Builder 

- Quartus 2 version 9.0 

 

At the moment I have done only a simple Simulink program which enables to control some leds pressing a switch.  

So, I am able to test it using Signal Compiler and everything is correct. 

 

My preject's goal is to flash the program I have created on Simulink on a non-volatile memory, so I can power on the board and automatically starting my program. 

 

I have read a lot of documentations but I can't figure out how to do it. 

 

Signal compiler gives an .sof file (whom target device is EP2S60 FPGA) and a .pof file (whom target device is EPC16). 

 

I have read a lot about MAX Device, Qsys, Nios2, PFL and other stuffs, and I have unsuccesfully tried all of them so at the moment I'm a bit confused :confused:.  

 

How can I flash my program to a non-volatile memory? 

 

Thank you in advance.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
315 Views

Hi. I recently had to do the same thing. Here is my thread outlining how to do it. I targeted cyclone iv e and an EPCS128 chip. 

 

http://www.alteraforum.com/forum/showthread.php?t=48733
0 Kudos
Altera_Forum
Honored Contributor II
315 Views

I used to do compression too and I will fix it. 

 

But before trying that I have some troubles on Qsys (check the image attached). 

 

And also, I can't use Nios2 flash programmer gui because I don't have any .sopcinfo file.
0 Kudos
Altera_Forum
Honored Contributor II
315 Views

1) The .sopcinfo file is created when you Generate your Qsys system. 

 

2) In your attached qsys picture, you need to set the reset vector to EPCS, and the expection vector to something else like On-chip RAM.
0 Kudos
Reply