Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

TimeQuest Timing Analyzer

Altera_Forum
Honored Contributor II
1,044 Views

First of all, sorry for my poor English, I'm from China. 

I use the set_net_delay command to specify the delay between a source and destination points. 

This is the command: "set_net_delay -from [get_pins {u6|out~57_I|combout}] -max -to [get_pins {u6|out~59_I|datad}] 0.5". 

But the delay between "u6|out~57_I|combout" and "u6|out~59_I|datad" more than 0.5ns, and it is 1.622ns. What should I do to let this command work? 

 

http://www.alteraforum.com/forum/attachment.php?attachmentid=10718&stc=1
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
260 Views

That might be the fastest this path can go. In general you should try and constrain with your create_clock, set_input_delay, set_output_delay, and multicycle type commands and try and avoid drilling down to individual nets as constraints. If you describe why you want to use the set_net_delay constraint we might be able to suggest another way to constrain your overall path.

0 Kudos
Altera_Forum
Honored Contributor II
260 Views

From the "set_net_delay" description http://quartushelp.altera.com/14.1/mergedprojects/tafs/tafs/tcl_pkg_sdc_ext_ver_1.0_cmd_set_net_delay.htm, it is actually not a "constraint" and just provides a report to the specified path according to the your requirement. After being applied, you can find the report in "Report Net Delay" in TimeQuest. To constraint the data path, you can consider using "set_max_delay" http://quartushelp.altera.com/14.1/mergedprojects/tafs/tafs/tcl_pkg_sdc_ver_1.5_cmd_set_max_delay.htm

0 Kudos
Reply