Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16599 Discussions

VHDL for simulation in Quartus II

Altera_Forum
Honored Contributor II
1,166 Views

Since it is my first use, ask the administrator to move the topic if you are in the wrong place. 

I need help to represent the figure in VHDL to simulate in ModelSim or in Quartus II. 

It will only be simulated to present a thesis of the postgraduate course. 

The project will not be physically implemented. 

I do not know anything VDHL, so ask for help. 

A1, B1, C1, C2, C3, D1, E1, F1 and G1 are input. 

RED, GREEN, YELLOW and PURPLE are LEDs.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
407 Views

Have you even bothered to try to learn yourself? 

There are plenty of online VHDL tutorials. 

You will get no help if you dont put any effort in yourself. We're not here to do your work for you.
0 Kudos
Reply