Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

nco v13.0 megacore problem

Altera_Forum
Honored Contributor II
1,188 Views

Hi i need seting-up a nco at 100MHz clock and 10 MHz output sine vawe. 

I use nco megacore v13.0, but if i put desired output frequency 10 Mhz 

the real output frequency is 1 Mhz. 

Why? 

Regards, Luca
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
519 Views

your accumulator is 2^32 , Fs is 100MHz, tuning word is 42949673 so output F = 100MHz * 42949673/2^32 = 1Mhz 

 

for 10 Mhz the tuning word should be 10 times larger i.e. 10/100 *2^32 = 429496730 

 

I don't know why gui is displaying that but your vco should be ok in circuit
0 Kudos
Altera_Forum
Honored Contributor II
519 Views

tomorrow i can simulate this implementation. 

thank you
0 Kudos
Reply