Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

Nios Interfacing with W5300

Altera_Forum
Honored Contributor II
1,624 Views

Hello, 

 

I want to perform a connection between Nios and Ethernet Module Wiznet W5300. Although connecting w5300 to pio would be easier for me I want to connect it directly to Avalon bus. Avalon MM Slave-translator seems an ideal candidate for that job. This is the first time a integrate an external component to Avalon bus. Actually I found a page where everything of the above was done before, here is the link  

 

http://qiita.com/kazunori279/items/287f956009fe022f1e33 

 

Unfortunately the description is on Japannese but I gave it a try with Google translate. I managed to create a system based on the above description and code. The code compiles fine and runs ok. So in the beginning I write some values to the registers and read them back again. The Problem is whatever value I am trying to write on them the value that I read back is always zero, and that's the case with all registers. 

 

The problem seems to come with the configuration of Avalon AM Slave-Translator. I followed the recommendation of the above page but I am not sure if I put the correct values on Symbols/word field and bits/symbol field, cause there is no description about that. Can anyone suggest a value? 

 

Also any help from anyone who has already performed a connection between Nios and W5300 would be welcomed. I am using 16-bit direct mode connection. 

 

The code I am using can be found here 

 

https://github.com/kazunori279/hdllesson/tree/master/wiz 

 

Thanks
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
788 Views

If you haven't figured it out already, I think you probably want to look into using the Tri-State Conduit. 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_avalon_tc.pdf 

 

Your W5300 device doesn't look that different from a flash or SRAM as is commonly used with the Tri-State Conduit component.
0 Kudos
Altera_Forum
Honored Contributor II
788 Views

 

--- Quote Start ---  

If you haven't figured it out already, I think you probably want to look into using the Tri-State Conduit. 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_avalon_tc.pdf 

 

Your W5300 device doesn't look that different from a flash or SRAM as is commonly used with the Tri-State Conduit component. 

--- Quote End ---  

 

 

You are right. I think tri-state controller is better approach. In that case do I need also to use a tri-state conduit bridge along with the controller or not?
0 Kudos
Altera_Forum
Honored Contributor II
788 Views

 

--- Quote Start ---  

You are right. I think tri-state controller is better approach. In that case do I need also to use a tri-state conduit bridge along with the controller or not? 

--- Quote End ---  

 

 

If the device you are interfacing with has bi-directional signals, then yes. Otherwise, no. 

 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_avalon_tc.pdf
0 Kudos
SS5
Novice
788 Views

Hello,

 

I am working with NIOS and WIZNET 5300. Can you share your project for my reference or reference wiznet code. I am totally blind in this task.

0 Kudos
Reply