Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

ModelSim Altera Starter Edition 10.4d And Quartus Prime Lite 16.0 Compiler Issue

Altera_Forum
Honored Contributor II
1,686 Views

My ModelSim Altera Starter Edition 10.4d comes with IEEE.float_pkg. 

It can also compile float_pkg_vhdl2008.vhd into WORK library. 

Simulation works fine for WORK.float_pkg and IEEE.float_pkg. 

 

However, my Quartus Prime Lite Edition 16.0 cannot compile float_pkg_vhdl2008.vhd.  

It shows Error (10500): VHDL syntax error at float_pkg_vhdl2008.vhd(48) near text "new"; expecting "end", or a declaration statement. 

 

It cannot detect both the WORK.float_pkg (compiled by ModelSim) and IEEE.float_pkg. 

 

Error (10481): VHDL Use Clause error at Transport_Layer.vhd(7): design library "work" does not contain primary unit "float_pkg". Verify that the primary unit exists in the library and has been successfully compiled. 

Error (10481): VHDL Use Clause error at Transport_Layer.vhd(7): design library "IEEE" does not contain primary unit "float_pkg". Verify that the primary unit exists in the library and has been successfully compiled. 

 

How to fix this issue? 

 

Thank you.
0 Kudos
0 Replies
Reply