Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16598 Discussions

Problem while launching ModelSim simulation from Quartus

Altera_Forum
Honored Contributor II
1,201 Views

Hello, 

 

I'm newbie in Quartus and am starting to learn Quartus design flow. 

Certain documents I've found on the web, mention that one can run ModelSim simulation from Quartus. 

Unfortunately it didn't work properly. 

Here is my setup: 

  • Parent module: module_parent.vhd 

  • Child module: module_child.vhd 

  • Testbench: tb.vhd (not included in the design, but specified in Settings/EDA Tool Settings/Simulation/Compile Test Bench) 

 

 

When I launch ModelSim, only parent module (module_parent) appears in the work library, not module_child, neither tb

Here is fragment of the Transcript window of ModelSim: 

 

Error (suppressible): {path_to_module_parent(line_number_where_module_child_is_instantiated)} : (vcom-1195) Cannot find expanded name : "work.module_child" 

Error: {path_to_module_parent(line_number_where_module_child_is_instantiated)} : Unknown expanded name. 

 

Thanks in advance. 

 

Pavel
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
390 Views

You may find it easier just to drive modelsim yourself: 

 

from the modelsim transcript window: 

 

cd <your_working_folder> vlib work vcom module_child.vhd vcom module_parent.vhd vcom tb.tv vsim tb run 10 us restart -f
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

Thanks, 

 

It works. But is it the only way to launch ModelSim simulation from Quartus ? 

Is it possible to create some scenario to accelerate the things ? 

 

Another curious detail: after simulation launched, I didn't see signals mapped to outputs ... quite strange.
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

I've found found the reason why output-mapped signals aren't shown - when I uncheck "Enable Optimisation" in ModelSim simulation setup, it becomes Ok. 

Try to find corresponding option for vsim ... there's too many of them. 

There is -vopt that enable optimization, but I didn't find any option to disable it. 

Can it be disabled only via ModelSim simulation options ?
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

Finally I've found it: 

 

-novopt
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

 

--- Quote Start ---  

 

Is it possible to create some scenario to accelerate the things ? 

--- Quote End ---  

 

 

Yes, you can put all your commands in a do script 

 

type: 

do my_script.do 

 

at the prompt
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

 

--- Quote Start ---  

Yes, you can put all your commands in a do script 

 

type: 

do my_script.do 

 

at the prompt 

--- Quote End ---  

 

 

It works once ModelSim running ? 

Is it possible to automatize things Quartus side, i.e. click on "RTL Simulation" which would result ... ModelSim launches, compile all 3 entities and starts simulation ?
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

I've recreated project and now it's Ok, i.e. I can launch ModelSim directly from Quartus and ModelSim correctly compiles both entities and runs simulation. 

Probably there was something wrong with my previous setup.
0 Kudos
Altera_Forum
Honored Contributor II
390 Views

you can add a do script in the simulation settings somewhere in (i think it is) project->assignments and settings.

0 Kudos
Reply