Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16604 Discussions

Very long compilation time

Altera_Forum
Honored Contributor II
7,146 Views

Hi, 

I am having a problem with extremely long compilation time. A simple VHDL code is taking 1 hour to compile. 

I am using Quartus 15.1 Standard Edition (trial version). I have seen that in the compilation process, it always gets stuck at the fitter process. At this point it states " The Fitter is using Advanced Physical Optimization" as shown in the attached screen shot . I have also seen that during this process, my computer's CPU usage goes to 100%. 

Kindly advise how to speed up the compilation specially the fitting process. 

Misha
0 Kudos
21 Replies
Altera_Forum
Honored Contributor II
3,857 Views

 

--- Quote Start ---  

Hi, 

I am having a problem with extremely long compilation time. A simple VHDL code is taking 1 hour to compile. 

I am using Quartus 15.1 Standard Edition (trial version). I have seen that in the compilation process, it always gets stuck at the fitter process. At this point it states " The Fitter is using Advanced Physical Optimization" as shown in the attached screen shot . I have also seen that during this process, my computer's CPU usage goes to 100%. 

Kindly advise how to speed up the compilation specially the fitting process. 

Misha 

--- Quote End ---  

 

 

try different device. I have been through that
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

What is this "simple" vhdl?

0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

What is this "simple" vhdl? 

--- Quote End ---  

 

 

Simple VHDL code means that my VHDL code is a very simple counter implementation. It is not a very long or complex code. Just a simple addition based on input clock. 

To fit this small code, it is taking 1-2 hrs. 

I cannot use a different device currently. Can you advise some other alternative?
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

So finally I solved this problem by disabling the parallel compilation in Quartus II. 

I went to Assignments-->settings --> Compilation process settings --> Maximum processors allowed = 1 

Also, I disabled Modelsim by going to EDA tool settings--> Simulation --> None. 

My VHDL code was able to compile in just 4 minutes. 

Hopefully it will be useful for other people.
Altera_Forum
Honored Contributor II
3,859 Views

Thanks for sharing the finding. It is kind of interesting because by default, the QII will utilize all the available processors to speed up the compilation. Seems like when you constrain to only 1 processor, it is even faster.

Altera_Forum
Honored Contributor II
3,859 Views

By the way, what is the device family that you are using?

0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

This does sound like an odd bug, and one that should be raised with altera to look at via mysupport

0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

hi, kind of surprise to heat this as now a days computer come with powerful systems, did you installed some resource consuming antivirus or real time threat scanner running at the background?

0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

oh ) nice Misha.kumar ...  

The quartus contain many small files even i mark all as trusted software for antivirus. <- degraded perfomance with factor up to 2. 

then virual memory used at fitter stage is quite big. <- is it enough , so you don't enlarge disk swap. 

Accelarated launch and prioity changing on the fly with so called "Live optimizaton" software <- it creates troubles with quartus2 

I wonder the time per stage in report file and actual real time -> for example 15 sec in report and 4 minutes in realtime.
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

oh ) nice Misha.kumar ...  

The quartus contain many small files even i mark all as trusted software for antivirus. <- degraded perfomance with factor up to 2. 

then virual memory used at fitter stage is quite big. <- is it enough , so you don't enlarge disk swap. 

Accelarated launch and prioity changing on the fly with so called "Live optimizaton" software <- it creates troubles with quartus2 

I wonder the time per stage in report file and actual real time -> for example 15 sec in report and 4 minutes in realtime. 

--- Quote End ---  

 

 

 

excellent analysis !
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

By the way, what is the device family that you are using? 

--- Quote End ---  

 

Hi nic, 

I am using Cyclone V GT development board. The exact part number of device is 5CGTFD9E5F35C7. 

Misha
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

hi, kind of surprise to heat this as now a days computer come with powerful systems, did you installed some resource consuming antivirus or real time threat scanner running at the background? 

--- Quote End ---  

 

Hi, 

Yes, I have anti virus and windows backup software running in the background but I tried disabling them as well later on and still the result was that with 2 processors it took 1-2 hrs depending on how complex code I was compiling. 

When, I switched to just one processor( i.e. disabled the parallel compilation), specially the fitting process went very fast. 

This was amazing considering the fact that parallel compilation should speed up the process. 

Anyway, I am currently sticking to a way that works faster for me.:)
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

it depends on processor . many believe that when Intel with HyperThreading outcame it has two cores but in fact only one. 

I have no any differnece in realtime with one or two processor enabled , except that sometimes i see in report processor usage 100% for the first and not more than 30% for second.
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

seems like you system behave quite strange here,as dual core slower than one core :D

0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

oh ) nice Misha.kumar ...  

The quartus contain many small files even i mark all as trusted software for antivirus. <- degraded perfomance with factor up to 2. 

then virual memory used at fitter stage is quite big. <- is it enough , so you don't enlarge disk swap. 

Accelarated launch and prioity changing on the fly with so called "Live optimizaton" software <- it creates troubles with quartus2 

I wonder the time per stage in report file and actual real time -> for example 15 sec in report and 4 minutes in realtime. 

--- Quote End ---  

 

 

Thanks Misha.kumar... 

compilation time reduced from 1 hour to 6 minutes...
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

 

--- Quote Start ---  

So finally I solved this problem by disabling the parallel compilation in Quartus II. 

I went to Assignments-->settings --> Compilation process settings --> Maximum processors allowed = 1 

Also, I disabled Modelsim by going to EDA tool settings--> Simulation --> None. 

My VHDL code was able to compile in just 4 minutes. 

Hopefully it will be useful for other people. 

--- Quote End ---  

 

 

 

 

REALY great thanks.i stuck at physical optimization for 4 hours until I gave up .Also made me think that project i made was wrong in some place.But when i ran it in friend's comp it took about 1 min on fitter ....then i found your post .I wish i could find it faster :)
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

Kind of a late reply, but decided to add my solution for the record. 

 

My home system uses Quartus Prime 16.0.0 Lite Edition. Just like you, it would get stuck at the Fitter 35% when using Advanced Physical Optimization. From the Windows Task Manager, I would get 100% CPU usage whenever the fitter (quartus_fit.exe) got to that point. 

 

To solve it I went to the Compiler Settings, clicked on "Advanced Settings (Fitter)..." and disabled Advanced Physical Optimization (Off). After that CPU usage wouldn't go past 35% and compilation time went down to a few minutes.
0 Kudos
Altera_Forum
Honored Contributor II
3,859 Views

Thanks for sharing the output. This is quite interesting, because by default QII will use all available processors to speed up compilation.

0 Kudos
AMarr4
Beginner
3,859 Views

Quartus 18.1 still has this bug where if you don't change from 2 processors to 1, it takes forever to compile.

0 Kudos
CLa_R
Novice
3,404 Views

Annotazione 2020-05-07 234802.pngI'm using Quartus II 17.1.0 and I have the same problem.

My project was completed in a few seconds (less than a minute), I only changed it a little bit and now Quartus II is stuck at 47% after 22 minutes.

I change the nuber of processor allowed in compilation but I didn't solve the problem.

 

Can you help me, please?

 

 

0 Kudos
Reply